FC2カウンター FPGAの部屋 2007年06月30日
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

アサーションベース設計 原書2版

Amazonに注文しておいたアサーションベース設計 原書2版が今日届きました。まだあまり読んでいませんが、奮発して8,400円もの本を買ってしまったので、がんばって読む予定です。
目標は今やっているPCI-Xバス・モジュールにアサーションを埋め込んで、検証したいと思っています。
今のところ、あまり読んでいないのですが、論理合成では無視するようにした領域に、VHDLでは assert 文で不正な状態を検出するような記述を書けば良いのでしょうか?
せっかく買ったので、生かすために読んでみようと思います。
  1. 2007年06月30日 21:15 |
  2. その他のFPGAの話題
  3. | トラックバック:0
  4. | コメント:4