• Current Mode Logic (CML) serial drivers/buffers with configurable termination and
voltage swing
• Programmable TX pre-emphasis, linear continuous-time RX equalization
• Support for multiple industry standards with the following line rates:
• 614 Mb/s to 810 Mb/s
• 1.22 Gb/s to 1.62 Gb/s
• 2.45 Gb/ to 3.125 Gb/s
• Optional built-in PCS features, such as 8B/10B encoding, comma alignment, channel
bonding, and clock correction
• Fixed latency modes for minimized, deterministic datapath latency
• Beacon signaling for PCI Express® designs and Out-of-Band signaling including
COM signal support for SATA designs
• Receiver eye scan:
Horizontal eye scan in the time domain for testing purposes
.p0_rd_clk (c3_clk0),
.p0_rd_en (c3_p0_rd_en),
.p0_rd_data (c3_p0_rd_data),
.p0_rd_full (c3_p0_rd_full),
.p0_rd_empty (c3_p0_rd_empty),
.p0_rd_count (c3_p0_rd_count),
.p0_rd_overflow (c3_p0_rd_overflow),
.p0_rd_error (c3_p0_rd_error),
.p0_cmd_clk (c3_clk0),
.p0_cmd_en (c3_p0_cmd_en),
.p0_cmd_instr (c3_p0_cmd_instr),
.p0_cmd_bl (c3_p0_cmd_bl),
.p0_cmd_byte_addr (c3_p0_cmd_byte_addr),
.p0_cmd_empty (c3_p0_cmd_empty),
.p0_cmd_full (c3_p0_cmd_full),
.p0_wr_clk (c3_clk0),
.p0_wr_en (c3_p0_wr_en),
.p0_wr_mask (c3_p0_wr_mask),
.p0_wr_data (c3_p0_wr_data),
.p0_wr_full (c3_p0_wr_full),
.p0_wr_empty (c3_p0_wr_empty),
.p0_wr_count (c3_p0_wr_count),
.p0_wr_underrun (c3_p0_wr_underrun),
.p0_wr_error (c3_p0_wr_error),
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 9622501.0 ps INFO: Activate bank 0 row 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 9637501.0 ps INFO: Write bank 0 col 200, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .main: at time 9645001.0 ps INFO: Sync On Die Termination Rtt_NOM = 60 Ohm
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 9647501.0 ps INFO: Write bank 0 col 208, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9651251.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000200 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9652501.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000201 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9653751.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000202 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9655001.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000203 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9656251.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000204 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9657501.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000205 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 9657501.0 ps INFO: Write bank 0 col 210, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9658751.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000206 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9660001.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000207 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9661251.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000208 data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9662501.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000209 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9663751.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 0000020a data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9665001.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 0000020b data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9666251.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 0000020c data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 9667501.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 0000020d data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .main: at time 13102501.0 ps INFO: Sync On Die Termination Rtt_NOM = 0 Ohm
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 13105001.0 ps INFO: Read bank 0 col 200, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 13115001.0 ps INFO: Read bank 0 col 208, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13118751.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000200 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13120001.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000201 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13121251.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000202 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13122501.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000203 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13123751.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000204 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13125001.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000205 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 13125001.0 ps INFO: Read bank 0 col 210, auto precharge 0
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13126251.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000206 data = 0400
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13127501.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000207 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13128751.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000208 data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13130001.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 00000209 data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13131251.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 0000020a data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13132501.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 0000020b data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13133751.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 0000020c data = 0410
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 13135001.0 ps INFO: READ @ DQS= bank = 0 row = 0000 col = 0000020d data = 0000
sim_tb_top.\MEM_INST3.u_mem_c3 .reset at time 5471251.0 ps WARNING: 200 us is required before RST_N goes inactive.
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task at time 5622501.0 ps WARNING: 500 us is required after RST_N goes inactive before CKE goes active.
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps INFO: Load Mode 2
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps INFO: Load Mode 2 CAS Write Latency = 5
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps INFO: Load Mode 2 Auto Self Refresh = Enabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps WARNING: Load Mode 2 Auto Self Refresh is not modeled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps INFO: Load Mode 2 Self Refresh Temperature = Normal
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5755001.0 ps INFO: Load Mode 2 Dynamic ODT = Disabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5765001.0 ps INFO: Load Mode 3
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5765001.0 ps INFO: Load Mode 3 MultiPurpose Register Select = Pre-defined pattern
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5765001.0 ps INFO: Load Mode 3 MultiPurpose Register Enable = Disabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 DLL Enable = Enabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 Output Drive Strength = 40 Ohm
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 ODT Rtt = 60 Ohm
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 Additive Latency = 0
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 Write Levelization = Disabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 TDQS Enable = Disabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5775001.0 ps INFO: Load Mode 1 Qoff = Enabled
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 Burst Length = 8
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 Burst Order = Sequential
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 CAS Latency = 6
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 DLL Reset = Reset DLL
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 Write Recovery = 6
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5785001.0 ps INFO: Load Mode 0 Power Down Mode = DLL off
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5817501.0 ps INFO: ZQ long = 1
sim_tb_top.\MEM_INST3.u_mem_c3 .cmd_task: at time 5817501.0 ps INFO: Initialization Sequence is complete
1. DDR, DDR2, DDR3, and LPDDR (Mobile DDR)サポート
2. 800Mbps(400MHz DDR)までサポート
3. パッケージに最大4つのMCBが入っている。それぞれのMCBコアは以下の特徴がある。
・4、8、16ビット長の1つのメモリを制御する。
・メモリのサイズとしては4Gbitまで。
・最大12.8Gbit/secの性能。(800MHz X 16bit = 12,800Mbit/sec = 12.8Gbit/sec)
4. MCBはユーザーロジックからマルチポートのメモリ・コントローラとして使うことができる。
・1ポートから6ポートまで設定できる。
・データポートを32、64、128ビットのバス幅に設定できる。
・2つの両方向ポートと4つの片方向ポートがある。
5. 8個までのバンクを同時に開くことが出来る。(これは凄い、私の作ったコントローラは1つのバンクしか開かない、でもプリチャージは自分で管理するのかな?)
6. ハードマクロでコントローラ、PHYが内蔵されている。
7. それぞれのMCBで使用するピン配置は決定されている。(これも性能を取ったらそうなるだろう?)
8. メモリデバイスのパラメータは設定可能。
・ドライバのドライブ強度
・On-Die Termination(ODT)
・CAS Latency
・Self refresh
・リフレッシュ間隔
・Write recovery time
9. Readの時のDQSとDQの自動遅延キャリブレーション
10. FPGAon-chip input terminationのオプションの自動キャリブレーション
11. COER Generator とEDKでサポート
・MIGでサポート
・EDKからはマルチポートのメモリ・コントローラ(MPMC)IPとしてサポート
component MCB
generic (
ARB_NUM_TIME_SLOTS : integer := 12;
ARB_TIME_SLOT_0 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_1 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_10 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_11 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_2 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_3 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_4 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_5 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_6 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_7 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_8 : bit_vector := "111111111111111111";
ARB_TIME_SLOT_9 : bit_vector := "111111111111111111";
CAL_BA : bit_vector := X"0";
CAL_BYPASS : string := "YES";
CAL_CA : bit_vector := X"000";
CAL_CALIBRATION_MODE : string := "NOCALIBRATION";
CAL_CLK_DIV : integer := 1;
CAL_DELAY : string := "QUARTER";
CAL_RA : bit_vector := X"0000";
MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN";
MEM_BA_SIZE : integer := 3;
MEM_BURST_LEN : integer := 8;
MEM_CAS_LATENCY : integer := 4;
MEM_CA_SIZE : integer := 11;
MEM_DDR1_2_ODS : string := "FULL";
MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL";
MEM_DDR2_3_PA_SR : string := "FULL";
MEM_DDR2_ADD_LATENCY : integer := 0;
MEM_DDR2_DIFF_DQS_EN : string := "YES";
MEM_DDR2_RTT : string := "50OHMS";
MEM_DDR2_WRT_RECOVERY : integer := 4;
MEM_DDR3_ADD_LATENCY : string := "OFF";
MEM_DDR3_AUTO_SR : string := "ENABLED";
MEM_DDR3_CAS_LATENCY : integer := 7;
MEM_DDR3_CAS_WR_LATENCY : integer := 5;
MEM_DDR3_DYN_WRT_ODT : string := "OFF";
MEM_DDR3_ODS : string := "DIV7";
MEM_DDR3_RTT : string := "DIV2";
MEM_DDR3_WRT_RECOVERY : integer := 7;
MEM_MDDR_ODS : string := "FULL";
MEM_MOBILE_PA_SR : string := "FULL";
MEM_MOBILE_TC_SR : integer := 0;
MEM_RAS_VAL : integer := 0;
MEM_RA_SIZE : integer := 13;
MEM_RCD_VAL : integer := 1;
MEM_REFI_VAL : integer := 0;
MEM_RFC_VAL : integer := 0;
MEM_RP_VAL : integer := 0;
MEM_RTP_VAL : integer := 0;
MEM_TYPE : string := "DDR3";
MEM_WIDTH : integer := 4;
MEM_WR_VAL : integer := 0;
MEM_WTR_VAL : integer := 3;
PORT_CONFIG : string := "B32_B32_B32_B32"
);
port (
ADDR : out std_logic_vector(14 downto 0);
BA : out std_logic_vector(2 downto 0);
CAS : out std_ulogic;
CKE : out std_ulogic;
DQIOWEN0 : out std_ulogic;
DQON : out std_logic_vector(15 downto 0);
DQOP : out std_logic_vector(15 downto 0);
DQSIOWEN90N : out std_ulogic;
DQSIOWEN90P : out std_ulogic;
IOIDRPADD : out std_ulogic;
IOIDRPADDR : out std_logic_vector(4 downto 0);
IOIDRPBROADCAST : out std_ulogic;
IOIDRPCLK : out std_ulogic;
IOIDRPCS : out std_ulogic;
IOIDRPSDO : out std_ulogic;
IOIDRPTRAIN : out std_ulogic;
IOIDRPUPDATE : out std_ulogic;
LDMN : out std_ulogic;
LDMP : out std_ulogic;
ODT : out std_ulogic;
P0CMDEMPTY : out std_ulogic;
P0CMDFULL : out std_ulogic;
P0RDCOUNT : out std_logic_vector(6 downto 0);
P0RDDATA : out std_logic_vector(31 downto 0);
P0RDEMPTY : out std_ulogic;
P0RDERROR : out std_ulogic;
P0RDFULL : out std_ulogic;
P0RDOVERFLOW : out std_ulogic;
P0WRCOUNT : out std_logic_vector(6 downto 0);
P0WREMPTY : out std_ulogic;
P0WRERROR : out std_ulogic;
P0WRFULL : out std_ulogic;
P0WRUNDERRUN : out std_ulogic;
P1CMDEMPTY : out std_ulogic;
P1CMDFULL : out std_ulogic;
P1RDCOUNT : out std_logic_vector(6 downto 0);
P1RDDATA : out std_logic_vector(31 downto 0);
P1RDEMPTY : out std_ulogic;
P1RDERROR : out std_ulogic;
P1RDFULL : out std_ulogic;
P1RDOVERFLOW : out std_ulogic;
P1WRCOUNT : out std_logic_vector(6 downto 0);
P1WREMPTY : out std_ulogic;
P1WRERROR : out std_ulogic;
P1WRFULL : out std_ulogic;
P1WRUNDERRUN : out std_ulogic;
P2CMDEMPTY : out std_ulogic;
P2CMDFULL : out std_ulogic;
P2COUNT : out std_logic_vector(6 downto 0);
P2EMPTY : out std_ulogic;
P2ERROR : out std_ulogic;
P2FULL : out std_ulogic;
P2RDDATA : out std_logic_vector(31 downto 0);
P2RDOVERFLOW : out std_ulogic;
P2WRUNDERRUN : out std_ulogic;
P3CMDEMPTY : out std_ulogic;
P3CMDFULL : out std_ulogic;
P3COUNT : out std_logic_vector(6 downto 0);
P3EMPTY : out std_ulogic;
P3ERROR : out std_ulogic;
P3FULL : out std_ulogic;
P3RDDATA : out std_logic_vector(31 downto 0);
P3RDOVERFLOW : out std_ulogic;
P3WRUNDERRUN : out std_ulogic;
P4CMDEMPTY : out std_ulogic;
P4CMDFULL : out std_ulogic;
P4COUNT : out std_logic_vector(6 downto 0);
P4EMPTY : out std_ulogic;
P4ERROR : out std_ulogic;
P4FULL : out std_ulogic;
P4RDDATA : out std_logic_vector(31 downto 0);
P4RDOVERFLOW : out std_ulogic;
P4WRUNDERRUN : out std_ulogic;
P5CMDEMPTY : out std_ulogic;
P5CMDFULL : out std_ulogic;
P5COUNT : out std_logic_vector(6 downto 0);
P5EMPTY : out std_ulogic;
P5ERROR : out std_ulogic;
P5FULL : out std_ulogic;
P5RDDATA : out std_logic_vector(31 downto 0);
P5RDOVERFLOW : out std_ulogic;
P5WRUNDERRUN : out std_ulogic;
RAS : out std_ulogic;
RST : out std_ulogic;
SELFREFRESHMODE : out std_ulogic;
STATUS : out std_logic_vector(31 downto 0);
UDMN : out std_ulogic;
UDMP : out std_ulogic;
UOCALSTART : out std_ulogic;
UOCMDREADYIN : out std_ulogic;
UODATA : out std_logic_vector(7 downto 0);
UODATAVALID : out std_ulogic;
UODONECAL : out std_ulogic;
UOREFRSHFLAG : out std_ulogic;
UOSDO : out std_ulogic;
WE : out std_ulogic;
DQI : in std_logic_vector(15 downto 0);
DQSIOIN : in std_ulogic;
DQSIOIP : in std_ulogic;
IOIDRPSDI : in std_ulogic;
P0ARBEN : in std_ulogic;
P0CMDBA : in std_logic_vector(2 downto 0);
P0CMDBL : in std_logic_vector(5 downto 0);
P0CMDCA : in std_logic_vector(11 downto 0);
P0CMDCLK : in std_ulogic;
P0CMDEN : in std_ulogic;
P0CMDINSTR : in std_logic_vector(2 downto 0);
P0CMDRA : in std_logic_vector(14 downto 0);
P0RDCLK : in std_ulogic;
P0RDEN : in std_ulogic;
P0RWRMASK : in std_logic_vector(3 downto 0);
P0WRCLK : in std_ulogic;
P0WRDATA : in std_logic_vector(31 downto 0);
P0WREN : in std_ulogic;
P1ARBEN : in std_ulogic;
P1CMDBA : in std_logic_vector(2 downto 0);
P1CMDBL : in std_logic_vector(5 downto 0);
P1CMDCA : in std_logic_vector(11 downto 0);
P1CMDCLK : in std_ulogic;
P1CMDEN : in std_ulogic;
P1CMDINSTR : in std_logic_vector(2 downto 0);
P1CMDRA : in std_logic_vector(14 downto 0);
P1RDCLK : in std_ulogic;
P1RDEN : in std_ulogic;
P1RWRMASK : in std_logic_vector(3 downto 0);
P1WRCLK : in std_ulogic;
P1WRDATA : in std_logic_vector(31 downto 0);
P1WREN : in std_ulogic;
P2ARBEN : in std_ulogic;
P2CLK : in std_ulogic;
P2CMDBA : in std_logic_vector(2 downto 0);
P2CMDBL : in std_logic_vector(5 downto 0);
P2CMDCA : in std_logic_vector(11 downto 0);
P2CMDCLK : in std_ulogic;
P2CMDEN : in std_ulogic;
P2CMDINSTR : in std_logic_vector(2 downto 0);
P2CMDRA : in std_logic_vector(14 downto 0);
P2EN : in std_ulogic;
P2WRDATA : in std_logic_vector(31 downto 0);
P2WRMASK : in std_logic_vector(3 downto 0);
P3ARBEN : in std_ulogic;
P3CLK : in std_ulogic;
P3CMDBA : in std_logic_vector(2 downto 0);
P3CMDBL : in std_logic_vector(5 downto 0);
P3CMDCA : in std_logic_vector(11 downto 0);
P3CMDCLK : in std_ulogic;
P3CMDEN : in std_ulogic;
P3CMDINSTR : in std_logic_vector(2 downto 0);
P3CMDRA : in std_logic_vector(14 downto 0);
P3EN : in std_ulogic;
P3WRDATA : in std_logic_vector(31 downto 0);
P3WRMASK : in std_logic_vector(3 downto 0);
P4ARBEN : in std_ulogic;
P4CLK : in std_ulogic;
P4CMDBA : in std_logic_vector(2 downto 0);
P4CMDBL : in std_logic_vector(5 downto 0);
P4CMDCA : in std_logic_vector(11 downto 0);
P4CMDCLK : in std_ulogic;
P4CMDEN : in std_ulogic;
P4CMDINSTR : in std_logic_vector(2 downto 0);
P4CMDRA : in std_logic_vector(14 downto 0);
P4EN : in std_ulogic;
P4WRDATA : in std_logic_vector(31 downto 0);
P4WRMASK : in std_logic_vector(3 downto 0);
P5ARBEN : in std_ulogic;
P5CLK : in std_ulogic;
P5CMDBA : in std_logic_vector(2 downto 0);
P5CMDBL : in std_logic_vector(5 downto 0);
P5CMDCA : in std_logic_vector(11 downto 0);
P5CMDCLK : in std_ulogic;
P5CMDEN : in std_ulogic;
P5CMDINSTR : in std_logic_vector(2 downto 0);
P5CMDRA : in std_logic_vector(14 downto 0);
P5EN : in std_ulogic;
P5WRDATA : in std_logic_vector(31 downto 0);
P5WRMASK : in std_logic_vector(3 downto 0);
PLLCE : in std_logic_vector(1 downto 0);
PLLCLK : in std_logic_vector(1 downto 0);
PLLLOCK : in std_ulogic;
RECAL : in std_ulogic;
SELFREFRESHENTER : in std_ulogic;
SYSRST : in std_ulogic;
UDQSIOIN : in std_ulogic;
UDQSIOIP : in std_ulogic;
UIADD : in std_ulogic;
UIADDR : in std_logic_vector(4 downto 0);
UIBROADCAST : in std_ulogic;
UICLK : in std_ulogic;
UICMD : in std_ulogic;
UICMDEN : in std_ulogic;
UICMDIN : in std_ulogic;
UICS : in std_ulogic;
UIDONECAL : in std_ulogic;
UIDQCOUNT : in std_logic_vector(3 downto 0);
UIDQLOWERDEC : in std_ulogic;
UIDQLOWERINC : in std_ulogic;
UIDQUPPERDEC : in std_ulogic;
UIDQUPPERINC : in std_ulogic;
UIDRPUPDATE : in std_ulogic;
UILDQSDEC : in std_ulogic;
UILDQSINC : in std_ulogic;
UIREAD : in std_ulogic;
UISDI : in std_ulogic;
UIUDQSDEC : in std_ulogic;
UIUDQSINC : in std_ulogic
);
end component;
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |