//
// Atlys_LED_int.c
//
// AltysボードのLEDを1秒ごとに+1するソフトウェア
// FITの割り込みを使用する
//
#define GPO1_ADDR 0x80000010
#define GPI1_ADDR 0x80000020
#define IRQ_ENABLE 0x80000038
#define IRQ_ACK 0x8000003C
#define FIT1_INTERRUPT_BIT 0x80 // FIT1の割り込みビット位置
volatile int interrupt = 0;
//割り込み処理
void interrupt_handler() __attribute__ ((interrupt_handler));
void interrupt_handler() {
interrupt = 1;
*(volatile unsigned int *)(IRQ_ACK) = FIT1_INTERRUPT_BIT;
}
//割り込み許可
void microblaze_enable_interrupts()
{
__asm__(
"mfs r12, rmsr\n\t" //Read the MSR register
"ori r12, r12, 2\n\t" //Set the interrupt enable bit
"mts rmsr, r12\n\t" //Save the MSR register
);
}
//割り込み禁止
void microblaze_disable_interrupts()
{
__asm__(
"mfs r12, rmsr\n\t" //Read the MSR register
"andi r12, r12, ~2\n\t" //Clear the interrupt enable bit
"mts rmsr, r12\n\t" //Save the MSR register
);
}
int main()
{
unsigned int led = 0x55;
*(volatile unsigned int *)(GPO1_ADDR) = led;
microblaze_enable_interrupts();
*(volatile unsigned int *)(IRQ_ENABLE) = FIT1_INTERRUPT_BIT;
while(1){
// FIT割り込み待ち
interrupt = 0;
while(interrupt==0);
*(volatile unsigned int *)(GPO1_ADDR) = led++;
}
}
TARGET=Atlys_LED_int.elf
TARGET_DEBUG=Atlys_LED_int_debug.elf
SRCDIR=./
OBJDIR=./
SRCS= $(SRCDIR)Atlys_LED_int.c
OBJS= $(OBJDIR)Atlys_LED_int.o
OBJS_DEBUG= $(OBJDIR)Atlys_LED_int_debug.o
CC=mb-gcc
CFLAGS=-O2 -mlittle-endian -Wl,-Map=Atlys_LED_int.map
CFLAGS_DEBUG=-O0 -g -mlittle-endian -Wl,-Map=Atlys_LED_int_debug.map
LDFLAGS1=-Wl,-s
$(TARGET) : $(OBJS)
$(CC) $(CFLAGS) $(LDFLAGS1) $(OBJS) -o $(OBJDIR)$(TARGET) $(LDFLAGS2)
$(OBJDIR)Atlys_LED_int.o: $(SRCDIR)Atlys_LED_int.c $(INCS)
$(CC) $(CFLAGS) -c $< -o $@
clean:
rm -f $(OBJDIR)*.elf $(OBJDIR)*.o $(OBJDIR)*.map
debug: $(TARGET_DEBUG)
$(TARGET_DEBUG) : $(OBJS_DEBUG)
$(CC) $(CFLAGS_DEBUG) $(OBJS_DEBUG) -o $(OBJDIR)$(TARGET_DEBUG) $(LDFLAGS2)
$(OBJDIR)Atlys_LED_int_debug.o: $(SRCDIR)Atlys_LED_int.c $(INCS)
$(CC) $(CFLAGS_DEBUG) -c $< -o $@
TARGET=Atlys_LED_test.elf
TARGET_DEBUG=Atlys_LED_test_debug.elf
SRCDIR=./
OBJDIR=./
SRCS= $(SRCDIR)Atlys_LED_test.c
OBJS= $(OBJDIR)Atlys_LED_test.o
OBJS_DEBUG= $(OBJDIR)Atlys_LED_test_debug.o
CC=mb-gcc
CFLAGS=-O2 -mlittle-endian -Wl,-Map=Atlys_LED_test.map
CFLAGS_DEBUG=-O0 -g -mlittle-endian -Wl,-Map=Atlys_LED_test_debug.map
LDFLAGS1=-Wl,-s
$(TARGET) : $(OBJS)
$(CC) $(CFLAGS) $(LDFLAGS1) $(OBJS) -o $(OBJDIR)$(TARGET) $(LDFLAGS2)
$(OBJDIR)Atlys_LED_test.o: $(SRCDIR)Atlys_LED_test.c $(INCS)
$(CC) $(CFLAGS) -c $< -o $@
clean:
rm -f $(OBJDIR)*.elf $(OBJDIR)*.o $(OBJDIR)*.map
debug: $(TARGET_DEBUG)
$(TARGET_DEBUG) : $(OBJS_DEBUG)
$(CC) $(CFLAGS_DEBUG) $(OBJS_DEBUG) -o $(OBJDIR)$(TARGET_DEBUG) $(LDFLAGS2)
$(OBJDIR)Atlys_LED_test_debug.o: $(SRCDIR)Atlys_LED_test.c $(INCS)
$(CC) $(CFLAGS_DEBUG) -c $< -o $@
//
// Atlys_LED_test.c
//
// AltysボードのLEDを1秒ごとに+1するソフトウェア
// 初めは割り込みを使わないで実行する
//
#define GPO1_ADDR 0x80000010
#define GPI1_ADDR 0x80000020
#define PIT1_PRELOAD_ADDR 0x80000040
#define PIT1_COUNTER_ADDR 0x80000044
#define PIT1_CONTROL_ADDR 0x80000048
#define COUNT_VALUE 100
int main()
{
unsigned int b_GPI1 = 0;
unsigned int c_GPI1 = 0;
unsigned int led = 0x55;
*(volatile unsigned int *)(PIT1_PRELOAD_ADDR) = COUNT_VALUE; // 100MHzで1秒
*(volatile unsigned int *)(PIT1_CONTROL_ADDR) = 0x3; // Timer Enable, Auto Reload
*(volatile unsigned int *)(GPO1_ADDR) = led;
while(1){
c_GPI1 = 0x2 & (*(volatile unsigned int *)(GPI1_ADDR));
if (c_GPI1 != b_GPI1){
*(volatile unsigned int *)(GPO1_ADDR) = led++;
}
b_GPI1 = c_GPI1;
}
}
# PROJECT: Build New MicroBlaze
# ----------------------------------------------------------------------
#
# Copyright (C) 2011-2012 H.Ishihara, http://www.aquaxis.com/
#
# Permission is hereby granted, free of charge, to any person obtaining
# a copy of this software and associated documentation files (the
# "Software"), to deal in the Software without restriction, including
# without limitation the rights to use, copy, modify, merge, publish,
# distribute, sublicense, and/or sell copies of the Software, and to
# permit persons to whom the Software is furnished to do so, subject to
# the following conditions:
#
# The above copyright notice and this permission notice shall be
# included in all copies or substantial portions of the Software.
#
# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
# EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
# MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
# NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
# LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
# OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
# WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
#
# For further information please contact.
# http://www.aquaxis.com/
# info(at)aquaxis.com or hidemi(at)sweetcafe.jp
TARGET=Atlys_LED_test.elf
SRCDIR=./
OBJDIR=./
SRCS= $(SRCDIR)Atlys_LED_test.c
OBJS= $(OBJDIR)Atlys_LED_test.o
CC=mb-gcc
CFLAGS=-O2 -mlittle-endian -Wl,-Map=Atlys_LED_test.map
LDFLAGS1=-Wl,-s
$(TARGET) : $(OBJS)
$(CC) $(CFLAGS) $(LDFLAGS1) $(OBJS) -o $(OBJDIR)$(TARGET) $(LDFLAGS2)
$(OBJDIR)Atlys_LED_test.o: $(SRCDIR)Atlys_LED_test.c $(INCS)
$(CC) $(CFLAGS) -c $< -o $@
clean:
rm -f Atlys_LED_test.elf $(OBJDIR)*.o Atlys_LED_test.map
//
// Atlys_LED_test.c
//
// AltysボードのLEDを1秒ごとに+1するソフトウェア
// 初めは割り込みを使わないで実行する
//
#define GPO1_ADDR 0x80000010
#define GPI1_ADDR 0x80000020
#define PIT1_PRELOAD_ADDR 0x80000040
#define PIT1_COUNTER_ADDR 0x80000044
#define PIT1_CONTROL_ADDR 0x80000048
#define COUNT_VALUE 100
int main()
{
unsigned int b_GPI1 = 0;
unsigned int c_GPI1 = 0;
unsigned int led = 0x55;
*(volatile unsigned int *)(PIT1_PRELOAD_ADDR) = COUNT_VALUE; // 100MHzで1秒
*(volatile unsigned int *)(PIT1_CONTROL_ADDR) = 0x3; // Timer Enable, Auto Reload
*(volatile unsigned int *)(GPO1_ADDR) = led;
while(1){
c_GPI1 = 0x2 & (*(volatile unsigned int *)(GPI1_ADDR));
if (c_GPI1 != b_GPI1){
*(volatile unsigned int *)(GPO1_ADDR) = led++;
}
b_GPI1 = c_GPI1;
}
}
module MicroBlaze_MCS_Test(
input wire clk,
input wire reset,
// input wire UART_Rx,
// output wire UART_Tx,
output wire [7:0] LED_out
);
wire PIT1_Interrupt;
wire PIT1_Toggle;
wire INTC_IRQ;
wire [7:0] GPI1;
wire FIT1_Interrupt;
wire FIT1_Toggle;
assign GPI1 = {6'd0, FIT1_Toggle, PIT1_Toggle};
MB_MCS mcs_0 (
.Clk(clk), // input Clk
.Reset(reset), // input Reset
// .UART_Rx(UART_Rx), // input UART_Rx
// .UART_Tx(UART_Tx), // output UART_Tx
.FIT1_Interrupt(FIT1_Interrupt), // output FIT1_Interrupt
.FIT1_Toggle(FIT1_Toggle), // output FIT1_Toggle
.PIT1_Enable(1'b1), // input PIT1_Enable
.PIT1_Interrupt(PIT1_Interrupt), // output PIT1_Interrupt
.PIT1_Toggle(PIT1_Toggle), // output PIT1_Toggle
.GPO1(LED_out), // output [7 : 0] GPO1
.GPI1(GPI1), // input [7 : 0] GPI1
.INTC_IRQ(INTC_IRQ) // output INTC_IRQ
);
endmodule
#define GPO1_ADDR 0x80000010
#define GPI1_ADDR 0x80000020
#define COUNT_VALUE 100
int main()
{
unsigned int b_GPI1 = 0;
unsigned int c_GPI1 = 0;
unsigned int led = 0x55;
*(volatile unsigned int *)(GPO1_ADDR) = led;
while(1){
c_GPI1 = 0x2 & (*(volatile unsigned int *)(GPI1_ADDR));
if (c_GPI1 != b_GPI1){
*(volatile unsigned int *)(GPO1_ADDR) = led++;
}
b_GPI1 = c_GPI1;
}
}
source ipcore_dir/microblaze_mcs_setup.tcl
microblaze_mcs_data2mem SDK/Atlys_LED_Test/Debug/Atlys_LED_Test.elf
//
// Atlys_LED_test.c
//
// AltysボードのLEDを1秒ごとに+1するソフトウェア
// 初めは割り込みを使わないで実行する
//
#define GPIO1_ADDR 0x80000010
#define PIT1_PRELOAD_ADDR 0x80000040
#define PIT1_COUNTER_ADDR 0x80000044
#define PIT1_CONTROL_ADDR 0x80000048
unsigned int read_counter(){
return(*(volatile unsigned int *)(PIT1_COUNTER_ADDR));
}
int main()
{
unsigned int b_count = 0;
unsigned int c_count = 0;
unsigned int led = 0x55;
*(volatile unsigned int *)(PIT1_PRELOAD_ADDR) = 10; // 100MHzで1秒
*(volatile unsigned int *)(PIT1_CONTROL_ADDR) = 0x3; // Timer Enable, Auto load
*(volatile unsigned int *)(GPIO1_ADDR) = led;
while(1){
c_count = read_counter();
*(volatile unsigned int *)(GPIO1_ADDR) = c_count;
if (c_count > b_count){ // 現在の値のほうが大きいのでオートロードした
*(volatile unsigned int *)(GPIO1_ADDR) = led++;
}
*(volatile unsigned int *)(GPIO1_ADDR) = led++;
b_count = c_count;
}
}
module MicroBlaze_MCS_Test(
input wire clk,
input wire reset,
output wire [7:0] LED_out
);
wire PIT1_Interrupt;
wire PIT1_Toggle;
wire INTC_IRQ;
assign reset_n = ! reset;
MB_MCS mcs_0 (
.Clk(clk), // input Clk
.Reset(reset), // input Reset
.PIT1_Enable(1'b1), // input PIT1_Enable
.PIT1_Interrupt(PIT1_Interrupt), // output PIT1_Interrupt
.PIT1_Toggle(PIT1_Toggle), // output PIT1_Toggle
.GPO1(LED_out), // output [7 : 0] GPO1
.INTC_IRQ(INTC_IRQ) // output INTC_IRQ
);
endmodule
`timescale 1ns / 1ps
module MicroBlaze_MCS_Test_tb;
// Inputs
reg clk;
reg reset;
// Outputs
wire [7:0] LED_out;
// Instantiate the Unit Under Test (UUT)
MicroBlaze_MCS_Test uut (
.clk(clk),
.reset(reset),
.LED_out(LED_out)
);
parameter PERIOD = 10; // 100MHz clock
parameter real DUTY_CYCLE = 0.5;
parameter OFFSET = 0;
initial // Clock process for clk
begin
clk = 1'b0;
#OFFSET;
forever begin
clk = 1'b0;
#(PERIOD-(PERIOD*DUTY_CYCLE)) clk = 1'b1;
#(PERIOD*DUTY_CYCLE);
end
end
initial begin
// Initialize Inputs
reset = 1'b1;
// Wait 100 ns for global reset to finish
#100;
// Add stimulus here
reset = 1'b0;
end
endmodule
NET "LED_out[0]" LOC = U18;
NET "LED_out[1]" LOC = M14;
NET "LED_out[2]" LOC = N14;
NET "LED_out[3]" LOC = L14;
NET "LED_out[4]" LOC = M13;
NET "LED_out[5]" LOC = D4;
NET "LED_out[6]" LOC = P16;
NET "LED_out[7]" LOC = N12;
NET "clk" LOC = L15;
NET "reset" LOC = P3;
NET "LED_out[0]" IOSTANDARD = LVCMOS33;
NET "LED_out[1]" IOSTANDARD = LVCMOS33;
NET "LED_out[2]" IOSTANDARD = LVCMOS33;
NET "LED_out[3]" IOSTANDARD = LVCMOS33;
NET "LED_out[4]" IOSTANDARD = LVCMOS33;
NET "LED_out[5]" IOSTANDARD = LVCMOS33;
NET "LED_out[6]" IOSTANDARD = LVCMOS33;
NET "clk" IOSTANDARD = LVCMOS33;
NET "reset" IOSTANDARD = LVCMOS18;
NET "clk" TNM_NET = "clk";
TIMESPEC TS_sysclk = PERIOD "clk" 10 ns HIGH 50 %;
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | 1 | 2 | 3 |
4 | 5 | 6 | 7 | 8 | 9 | 10 |
11 | 12 | 13 | 14 | 15 | 16 | 17 |
18 | 19 | 20 | 21 | 22 | 23 | 24 |
25 | 26 | 27 | 28 | 29 | 30 | - |