FC2カウンター FPGAの部屋 2007年01月17日
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

Verilog2001版DDR SDRAMコントローラーのISEでのインプリメンテーション

Verilog2001版DDR SDRAMコントローラーをISEでインプリメンテーションしようと思ってやってみた。
プロジェクトを作ってファイルを入れてみたが、入れたのにデザインがないといってプロジェクトのツリーに入らないのがある。
ISE_translate_1_060117.png

上の図でwrdata_fifo.vなどがそうだ。なぜ入らないかか調べてみると// synthesys tarnslate_off, // synthesys tarnslate_onの組があるとだめなようだ。synthesys tarnslate_onが効いていない様だ。
ISE_translate_2_060117.png

XSTのマニュアルにも書いてあるし大丈夫なはずなのだが、これを削除するとなぜかプロジェクトのツリーに入る。
ISEでの削除の仕方はSourcesペインのSourcesタブでは削除できないのでLibrariesタブを選択してworkを展開する。右クリックしてRemoveを選択する。
ISE_translate_4_060117.png

Confirm Removeダイアログが開くので”Yes"をクリック。
ISE_translate_5_060117.png

これでプロジェクトから消えた。
// synthesis translate_off
`uselib lib = unisims_ver
// synthesis translate_on
を消してもう一度プロジェクトに入れるとSourcesペインのツリーに入る。
ISE_translate_6_060117.png

とりあえず`uselib lib = unisims_verは使わないようにしようと思う。ModelSimでのシミュレーションはvsimのオプションに-L unisims_verを追加しておけば大丈夫だろう。
  1. 2007年01月17日 20:11 |
  2. 入門Verilog
  3. | トラックバック:0
  4. | コメント:0

ISE9.1i

今日のXilinxからのメールによるとISE9.1iが出たそうだ。売り物体験版は出ているが、WebPACKはComing Soonだった。
  1. 2007年01月17日 09:02 |
  2. Xilinx ISEについて
  3. | トラックバック:0
  4. | コメント:2