FC2カウンター FPGAの部屋 2012年11月05日
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

アクリルサインの試作第1号が完成

アクリルサインの試作第1号が完成しました。
acrylic_signs_16_121105.jpg

横から見るとこんな感じです。5mm アクリル+10mm アクリル + 5mm アクリルのサンドイッチで20mm厚です。
acrylic_signs_17_121105.jpg

LEDを点灯するとこんな感じです。上にFPGA-CAFEのアクリルサインを載せてあります。
acrylic_signs_18_121105.jpg

写真やビデオでは、目で見ている色は出ません。MFT2012の会場で御覧ください。と言っても、明るすぎるとあまり派手じゃないかもしれません。

表板のUSBミニBコネクタへの切り込みが浅かったので、よく挿入できません。やっとはまっている感じです。後、LEDは目隠しをする予定なのを忘れていました。表板を掘り込んで白いアクリルをはめ込みます。
来週、表板、裏板を作りなおして修正します。
  1. 2012年11月05日 05:31 |
  2. Make出展
  3. | トラックバック:0
  4. | コメント:0