• BUS_INTERFACE
• IO_INTERFACE
• OPTION
• PARAMETER
• PORT
BEGIN mt9d111_inf_axi_master
## Peripheral Options
OPTION IPTYPE = PERIPHERAL
OPTION IMP_NETLIST = TRUE
OPTION STYLE = MIX
OPTION DESC = mt9d111_inf_axi_master
OPTION LONG_DESC = An AXI Master Camera Interface
OPTION HDL = MIXED
OPTION RUN_NGCBUILD = FALSE
initial begin
// Initialize Inputs
s_axi_lite_awaddr = 0;
s_axi_lite_awport = 0;
s_axi_lite_wvalid = 0;
s_axi_lite_wdata = 0;
s_axi_lite_wvalid = 0;
s_axi_lite_bready = 0;
s_axi_lite_araddr = 0;
s_axi_lite_arport = 0;
s_axi_lite_arvalid = 0;
s_axi_lite_rready = 0;
// Wait Reset rising edge
@(posedge ARESETN);
for (i=0; i<10; i=i+1) begin
@(posedge ACLK); // 次のクロックへ
#DELAY;
end
// Add stimulus here
@(posedge ACLK); // 次のクロックへ
#DELAY;
AXI_MASTER_WADC1(32'h0000_0100, 32'h1234_5678);
@(posedge ACLK); // 次のクロックへ
#DELAY;
AXI_MASTER_RADC1(32'h0000_0100);
#DELAY;
@(posedge ACLK); // 次のクロックへ
#DELAY;
AXI_MASTER_WADC2(32'h0000_0100, 32'h55AA_55AA);
@(posedge ACLK); // 次のクロックへ
#DELAY;
AXI_MASTER_RADC2(32'h0000_0600);
end
// Write Transcation 1
task AXI_MASTER_WADC1;
input [C_S_AXI_LITE_ADDR_WIDTH-1:0] awaddr;
input [C_S_AXI_LITE_DATA_WIDTH-1:0] wdata;
begin
s_axi_lite_awaddr = awaddr;
s_axi_lite_awvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_awvalid = 1'b0;
s_axi_lite_wdata = wdata;
s_axi_lite_wvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ, s_axi_lite_wready は常に 1
#DELAY;
s_axi_lite_wvalid = 1'b0;
s_axi_lite_bready = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_bready = 1'b0;
end
endtask
// Write Transcation 2
task AXI_MASTER_WADC2;
input [C_S_AXI_LITE_ADDR_WIDTH-1:0] awaddr;
input [C_S_AXI_LITE_DATA_WIDTH-1:0] wdata;
begin
s_axi_lite_awaddr = awaddr;
s_axi_lite_awvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_awvalid = 1'b0;
s_axi_lite_wdata = wdata;
s_axi_lite_wvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ, s_axi_lite_wready は常に 1
#DELAY;
s_axi_lite_wvalid = 1'b0;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_bready = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_bready = 1'b0;
end
endtask
// Read Transcation 1
task AXI_MASTER_RADC1;
input [31:0] araddr;
begin
s_axi_lite_araddr = araddr;
s_axi_lite_arvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_araddr = 0;
s_axi_lite_arvalid = 1'b0;
s_axi_lite_rready = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_rready = 1'b0;
end
endtask
// Read Transcation 2
task AXI_MASTER_RADC2;
input [31:0] araddr;
begin
s_axi_lite_araddr = araddr;
s_axi_lite_arvalid = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_araddr = 0;
s_axi_lite_arvalid = 1'b0;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_rready = 1'b1;
@(posedge ACLK); // 次のクロックへ
#DELAY;
s_axi_lite_rready = 1'b0;
end
endtask
// mt9d111_axi_lite_slave.v
// mt9d111_inf_axi_master のAXI Lite Slave モジュール。Frame Buffer のスタートアドレス・レジスタを持つ。
//
`default_nettype none
module mt9d111_axi_lite_slave # (
parameter integer C_S_AXI_LITE_ADDR_WIDTH = 9, // Address width of the AXI Lite Interface
parameter integer C_S_AXI_LITE_DATA_WIDTH = 32, // Data width of the AXI Lite Interface
parameter [31:0] C_DISPLAY_START_ADDRESS = 32'h1A00_0000
)(
input wire s_axi_lite_aclk,
input wire axi_resetn,
// AXI Lite Write Address Channel
input wire s_axi_lite_awvalid,
output wire s_axi_lite_awready,
input wire [C_S_AXI_LITE_ADDR_WIDTH-1: 0] s_axi_lite_awaddr,
// AXI Lite Write Data Channel
input wire s_axi_lite_wvalid,
output wire s_axi_lite_wready,
input wire [C_S_AXI_LITE_DATA_WIDTH-1: 0] s_axi_lite_wdata,
// AXI Lite Write Response Channel
output wire [1:0] s_axi_lite_bresp,
output wire s_axi_lite_bvalid,
input wire s_axi_lite_bready,
// AXI Lite Read Address Channel
input wire s_axi_lite_arvalid,
output wire s_axi_lite_arready,
input wire [C_S_AXI_LITE_ADDR_WIDTH-1: 0] s_axi_lite_araddr,
// AXI Lite Read Data Channel
output wire s_axi_lite_rvalid,
input wire s_axi_lite_rready,
output wire [C_S_AXI_LITE_DATA_WIDTH-1: 0] s_axi_lite_rdata,
output wire [1:0] s_axi_lite_rresp,
output wire [31:0] fb_start_address // Frame Buffer のスタートアドレス
);
// RESP の値の定義
parameter RESP_OKAY = 2'b00;
parameter RESP_EXOKAY = 2'b01;
parameter RESP_SLVERR = 2'b10;
parameter RESP_DECERR = 2'b11;
parameter IDLE_WR = 2'b00, // for wrt_cs
DATA_WRITE_HOLD = 2'b01,
BREADY_ASSERT = 2'b11;
parameter IDLE_RD = 1'b0, // for rdt_cs
AR_DATA_WAIT = 1'b1;
reg [1:0] wrt_cs = IDLE_WR;
reg [31:0] fb_start_addr_reg = C_DISPLAY_START_ADDRESS;
reg rdt_cs = IDLE_RD;
reg reset_1d = 1'b0;
reg reset = 1'b0;
reg awready = 1'b1;
reg bvalid = 1'b0;
reg arready = 1'b1;
reg rvalid = 1'b0;
wire aclk;
assign aclk = s_axi_lite_aclk;
// Synchronization of axi_resetn
always @(posedge aclk) begin
reset_1d <= ~axi_resetn;
reset <= reset_1d;
end
// AXI4 Lite Slave Write Transaction State Machine
always @(posedge aclk) begin
if (reset) begin
wrt_cs <= IDLE_WR;
awready <= 1'b1;
bvalid <= 1'b0;
end else begin
case (wrt_cs)
IDLE_WR :
if (s_axi_lite_awvalid & ~s_axi_lite_wvalid) begin // Write Transaction Start
wrt_cs <= DATA_WRITE_HOLD;
awready <= 1'b0;
end else if (s_axi_lite_awvalid & s_axi_lite_wvalid) begin // Write Transaction Start with data
wrt_cs <= BREADY_ASSERT;
awready <= 1'b0;
bvalid <= 1'b1;
end
DATA_WRITE_HOLD :
if (s_axi_lite_wvalid) begin // Write data just valid
wrt_cs <= BREADY_ASSERT;
bvalid <= 1'b1;
end
BREADY_ASSERT :
if (s_axi_lite_bready) begin // The write transaction was terminated.
wrt_cs <= IDLE_WR;
bvalid <= 1'b0;
awready <= 1'b1;
end
endcase
end
end
assign s_axi_lite_awready = awready;
assign s_axi_lite_bvalid = bvalid;
assign s_axi_lite_wready = 1'b1;
assign s_axi_lite_bresp = RESP_OKAY;
// AXI4 Lite Slave Read Transaction State Machine
always @(posedge aclk) begin
if (reset) begin
rdt_cs <= IDLE_RD;
arready <= 1'b1;
rvalid <= 1'b0;
end else begin
case (rdt_cs)
IDLE_RD :
if (s_axi_lite_arvalid) begin
rdt_cs <= AR_DATA_WAIT;
arready <= 1'b0;
rvalid <= 1'b1;
end
AR_DATA_WAIT :
if (s_axi_lite_rready) begin
rdt_cs <= IDLE_RD;
arready <= 1'b1;
rvalid <= 1'b0;
end
endcase
end
end
assign s_axi_lite_arready = arready;
assign s_axi_lite_rvalid = rvalid;
assign s_axi_lite_rresp = RESP_OKAY;
// fb_start_addr_reg
always @(posedge aclk) begin
if (reset)
fb_start_addr_reg <= C_DISPLAY_START_ADDRESS;
else
if (s_axi_lite_wvalid)
fb_start_addr_reg <= s_axi_lite_wdata;
end
assign fb_start_address = fb_start_addr_reg;
assign s_axi_lite_rdata = fb_start_addr_reg;
endmodule
// mt9d111_axi_lite_slave.v
// mt9d111_inf_axi_master のAXI Lite Slave モジュール。Frame Buffer のスタートアドレス・レジスタを持つ。
//
`default_nettype none
module mt9d111_axi_lite_slave # (
parameter integer C_S_AXI_LITE_ADDR_WIDTH = 9, // Address width of the AXI Lite Interface
parameter integer C_S_AXI_LITE_DATA_WIDTH = 32, // Data width of the AXI Lite Interface
parameter [31:0] C_DISPLAY_START_ADDRESS = 32'h1A00_0000
)(
input wire s_axi_lite_aclk = 1'b0,
input wire axi_resetn = 1'b0,
// AXI Lite Write Address Channel
input wire s_axi_lite_awvalid = 1'b0,
output wire s_axi_lite_awready,
input wire [C_S_AXI_LITE_ADDR_WIDTH-1: 0] s_axi_lite_awaddr = 0,
// AXI Lite Write Data Channel
input wire s_axi_lite_wvalid = 1'b0,
output wire s_axi_lite_wready,
input wire [C_S_AXI_LITE_DATA_WIDTH-1: 0] s_axi_lite_wdata = 0,
// AXI Lite Write Response Channel
output wire [1:0] s_axi_lite_bresp,
output wire s_axi_lite_bvalid,
input wire s_axi_lite_bready,
// AXI Lite Read Address Channel
input wire s_axi_lite_arvalid = 1'b0,
output wire s_axi_lite_arready,
input wire [C_S_AXI_LITE_ADDR_WIDTH-1: 0] s_axi_lite_araddr = 0,
// AXI Lite Read Data Channel
output wire s_axi_lite_rvalid,
input wire s_axi_lite_rready = 1'b0,
output wire [C_S_AXI_LITE_DATA_WIDTH-1: 0] s_axi_lite_rdata,
output wire [1:0] s_axi_lite_rresp,
output wire [31:0] fb_start_address // Frame Buffer のスタートアドレス
);
Started : "Simulate Behavioral Model".
Determining files marked for global include in the design...
Running fuse...
Command Line: fuse -intstyle ise -incremental -lib unisims_ver -lib unimacro_ver -lib xilinxcorelib_ver -lib secureip -o D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_tb_isim_beh.exe -prj D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master
_tb_beh.prj work.mt9d111_inf_axi_master_tb work.glbl {-v 1}
Running: C:\HDL\Xilinx\14.4\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib unisims_ver -lib unimacro_ver -lib xilinxcorelib_ver -lib secureip -o D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_tb_isim_beh.exe -prj D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00
_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_tb_beh.prj work.mt9d111_inf_axi_master_tb work.glbl -v 1
ISim P.49d (signature 0x7708f090)
Number of CPUs detected in this system: 2
Turning on mult-threading, number of parallel sub-compilation jobs: 4
Determining compilation order of HDL files
This application has requested the Runtime to terminate it in an unusual way.
Please contact the application's support team for more information.
Process "Simulate Behavioral Model" failed
Started : "Simulate Behavioral Model".
Determining files marked for global include in the design...
Running fuse...
Command Line: fuse -intstyle ise -incremental -lib unisims_ver -lib unimacro_ver -lib xilinxcorelib_ver -lib secureip -o D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_isim_beh.exe -prj D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_be
h.prj work.mt9d111_inf_axi_master work.glbl {}
Running: C:\Xilinx\14.4\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib unisims_ver -lib unimacro_ver -lib xilinxcorelib_ver -lib secureip -o D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/mt9d111_inf_axi_master_isim_beh.exe -prj D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d
111_inf_axi_master/mt9d111_inf_axi_master_beh.prj work.mt9d111_inf_axi_master work.glbl
ISim P.49d (signature 0x7708f090)
Number of CPUs detected in this system: 2
Turning on mult-threading, number of parallel sub-compilation jobs: 4
Determining compilation order of HDL files
Analyzing Verilog file "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/pixel_fifo.v" into library work
Analyzing Verilog file "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_cam_cont.v" into library work
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 17: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 18: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 19: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 20: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 21: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 23: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 24: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 25: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 26: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 27: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 56: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 57: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 59: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 60: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 61: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/./disp_timing_parameters.vh" Line 62: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_cam_cont.v" Line 43: Parameter declaration becomes local in mt9d111_cam_cont with formal parameter declaration list
Analyzing Verilog file "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" into library work
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 13: Illegal initial value of input port s_axi_lite_aclk for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 14: Illegal initial value of input port axi_resetn for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 17: Illegal initial value of input port s_axi_lite_awvalid for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 19: Illegal initial value of input port s_axi_lite_awaddr for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 20: Illegal initial value of input port s_axi_lite_awport for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 23: Illegal initial value of input port s_axi_lite_wvalid for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 25: Illegal initial value of input port s_axi_lite_wdata for module mt9d111_axi_lite_slave ignored
Analyzing Verilog file "C:/Xilinx/14.4/ISE_DS/ISE//verilog/src/glbl.v" into library work
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 33: Illegal initial value of input port s_axi_lite_arvalid for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 35: Illegal initial value of input port s_axi_lite_araddr for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 36: Illegal initial value of input port s_axi_lite_arport for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:489 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 40: Illegal initial value of input port s_axi_lite_rready for module mt9d111_axi_lite_slave ignored
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 48: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 49: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 50: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 51: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 53: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:693 - "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/verilog/mt9d111_axi_lite_slave.v" Line 57: Parameter declaration becomes local in mt9d111_axi_lite_slave with formal parameter declaration list
WARNING:HDLCompiler:1007 - "N:/P.49d/rtf/verilog/src/XilinxCoreLib/FIFO_GENERATOR_V9_3.v" Line 4120: Element index -1 into num_read_words_dc is out of bounds
WARNING:HDLCompiler:1007 - "N:/P.49d/rtf/verilog/src/XilinxCoreLib/FIFO_GENERATOR_V9_3.v" Line 4170: Element index -1 into num_write_words_dc is out of bounds
Parsing VHDL file "D:/HDL/FndtnISEWork/Zynq-7000/ZedBoard/Examples/Digilent_Linux/ZedBoard_OOB_Design2/hw/xps_proj/pcores/mt9d111_inf_axi_master_v1_00_a/mt9d111_inf_axi_master/../hdl/vhdl/mt9d111_inf_axi_master.vhd" into library work
Starting static elaboration
Completed static elaboration
Compiling module glbl
Compiling module mt9d111_axi_lite_slave(9,32,)
Compiling module ODDR(DDR_CLK_EDGE="SAME_EDGE")
Compiling module fifo_generator_v9_3_sync_stage(C...
Compiling module fifo_generator_v9_3_bhv_ver_as(C...
Compiling module fifo_generator_v9_3_bhv_ver_prel...
Compiling module FIFO_GENERATOR_V9_3_CONV_VER(C_C...
Compiling module FIFO_GENERATOR_V9_3(C_DATA_COUNT...
Compiling module pixel_fifo
Compiling module mt9d111_cam_cont(0)
Compiling package standard
Compiling package std_logic_1164
Compiling package numeric_std
Compiling package attributes
Compiling package std_logic_misc
Compiling package vl_types
Compiling architecture implementation of entity mt9d111_inf_axi_master
Time Resolution for simulation is 1ps.
Waiting for 9 sub-compilation(s) to finish...
FATAL_ERROR:Simulator:Fuse.cpp:209:1.133 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed. Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.
FATAL_ERROR:Simulator:Fuse.cpp:209:1.133 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed. Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.
Process "Simulate Behavioral Model" failed
キャラクタROMをAXI4 Lite Slave として実装する1(AXI4 Lite バスの勉強)
キャラクタROMをAXI4 Lite Slave として実装する2(AXI4 Lite バスの勉強2)
DTC: dts->dtb on file "devicetree_ramdisk_mt9d111.dts"
ERROR (duplicate_property_names): Duplicate property name ranges in /axi@0/char-rom-axi-lite@46000000
ERROR: Input tree has errors, aborting (use -f to force output)
char_rom_axi_lite_0: char-rom-axi-lite@46000000 {
#address-cells = <1>;
#size-cells = <1>;
compatible = "xlnx,compound";
ranges = < 0x46000000 0x46000000 0x10000 >;
} ;
cd sys
zynq> ls
block class devices fs module
bus dev firmware kernel power
zynq> cd devices/
zynq> ls
ARMv7 Cortex-A9 breakpoint software virtual
axi.0 platform system
zynq> cd axi.0/
zynq> ls
40400000.axidma e0002000.usb f8f02000.pl310
41600000.i2c e000a000.gpio leds.4
43000000.axivdma e000b000.eth modalias
45000000.i2c e000d000.qspi power
70e00000.cf-adv7x11-core e0100000.sdhci subsystem
75c00000.axi-spdif-tx f8001000.timer uevent
adv7511_hdmi_snd.3 f8005000.swdt xilinx_pcm_audio.2
char-rom-axi-lite.1 f8007000.devcfg zed_oled.5
e0001000.uart f8f01000.intc
zynq>
char_rom_axi_lite_0: char-rom-axi-lite@46000000 {
#address-cells = <1>;
#size-cells = <1>;
compatible = "xlnx,compound";
reg = < 0x46000000 0x10000 >;
ranges = < 0x46000000 0x46000000 0x10000 >;
} ;
zynq> ls
40400000.axidma e0100000.sdhci
41600000.i2c f8001000.timer
43000000.axivdma f8005000.swdt
45000000.i2c f8007000.devcfg
46000000.char-rom-axi-lite f8f01000.intc
70e00000.cf-adv7x11-core f8f02000.pl310
75c00000.axi-spdif-tx leds.3
adv7511_hdmi_snd.2 modalias
e0001000.uart power
e0002000.usb subsystem
e000a000.gpio uevent
e000b000.eth xilinx_pcm_audio.1
e000d000.qspi zed_oled.4
zynq>
sysfs は元々 ddfs (Device Driver Filesystem) と呼ばれており、始め、実装されているとき新しいドライバモデルをデバッグするために作成された。
ドライバモデルツリーに追加された個々のオブジェクト(ドライバ、クラスドライバを含むデバイス)に対して、sysfs 内にディレクトリが作られる。親と子の関係は(物理的な配置を反映して)/sys/devices/ の下のサブディレクトリに反映される。サブディレクトリ /sys/bus/ はシンボリックリンクが置かれており、どのようにデバイスが異なるバスに所属しているのかを反映している。/sys/block/ がブロックデバイスを含む一方で、/sys/class/ はネットワークのようなクラスによって分類されたデバイスを表している。
zynq> cd sys
zynq> ls
block class devices fs module
bus dev firmware kernel power
zynq>
zynq> cd devices
zynq> ls
ARMv7 Cortex-A9 breakpoint software virtual
axi.0 platform system
zynq>
zynq> cd axi.0
zynq> ls
40400000.axidma e000a000.gpio leds.3
41600000.i2c e000b000.eth modalias
43000000.axivdma e000d000.qspi power
45000000.i2c e0100000.sdhci subsystem
70e00000.cf-adv7x11-core f8001000.timer uevent
75c00000.axi-spdif-tx f8005000.swdt xilinx_pcm_audio.1
adv7511_hdmi_snd.2 f8007000.devcfg zed_oled.4
e0001000.uart f8f01000.intc
e0002000.usb f8f02000.pl310
zynq> cd 45000000.i2c/
zynq> ls
driver i2c-1 modalias power subsystem uevent
zynq> more uevent
DRIVER=xiic-i2c
OF_NAME=i2c
OF_FULLNAME=/axi@0/i2c@45000000
OF_COMPATIBLE_0=xlnx,axi-iic-1.02.a
OF_COMPATIBLE_1=xlnx,xps-iic-2.00.a
OF_COMPATIBLE_N=2
MODALIAS=of:Ni2cT<NULL>Cxlnx,axi-iic-1.02.aCxlnx,xps-iic-2.00.a
zynq>
zynq> cd 41600000.i2c/
zynq> ls
driver i2c-0 modalias power subsystem uevent
zynq> more uevent
DRIVER=xiic-i2c
OF_NAME=i2c
OF_FULLNAME=/axi@0/i2c@41600000
OF_COMPATIBLE_0=xlnx,axi-iic-1.01.b
OF_COMPATIBLE_1=xlnx,xps-iic-2.00.a
OF_COMPATIBLE_N=2
MODALIAS=of:Ni2cT<NULL>Cxlnx,axi-iic-1.01.bCxlnx,xps-iic-2.00.a
zynq>
zynq> cd 40400000.axidma/
zynq> ls
dma driver modalias power subsystem uevent
zynq> more uevent
DRIVER=xilinx-dma
OF_NAME=axidma
OF_FULLNAME=/axi@0/axidma@40400000
OF_COMPATIBLE_0=xlnx,axi-dma
OF_COMPATIBLE_N=1
MODALIAS=of:NaxidmaT<NULL>Cxlnx,axi-dma
zynq>
zynq> ls
block class devices fs module
bus dev firmware kernel power
zynq> ls block
loop0 loop4 mmcblk0 ram11 ram15 ram5 ram9
loop1 loop5 ram0 ram12 ram2 ram6
loop2 loop6 ram1 ram13 ram3 ram7
loop3 loop7 ram10 ram14 ram4 ram8
zynq> ls class/
bdi input mtd scsi_host vtconsole
dma leds net sound watchdog
drm mdio_bus rtc spi_master xslcr_mio
gpio mem scsi_device tty xslcr_reset
graphics misc scsi_disk udc
i2c-adapter mmc_host scsi_generic vc
zynq> ls fs
ext4
zynq> ls module/
block keyboard rcutree spurious
brd loop scsi_mod tcp_cubic
drm mmc_core sdhci usb_storage
drm_kms_helper mmcblk sg usbcore
ehci_hcd mousedev snd usbhid
hid pl330 snd_pcm vt
i2c_algo_bit printk snd_timer xilinx_uartps
kernel psmouse sparse_keymap
zynq> ls bus
amba event_source mdio_bus scsi spi
clocksource hid mmc sdio usb
cpu i2c platform serio
zynq> ls dev
block char
zynq> ls firmware/
zynq> ls kernel/
debug mm uevent_helper
fscaps notes uevent_seqnum
zynq> ls power/
state
zynq>
axi_iic_mt9d111: i2c@45000000 {
compatible = "xlnx,axi-iic-1.02.a", "xlnx,xps-iic-2.00.a";
interrupt-parent = <&ps7_scugic_0>;
interrupts = < 0 55 4 >;
reg = < 0x45000000 0x10000 >;
xlnx,family = "zynq";
xlnx,gpo-width = <0x1>;
xlnx,iic-freq = <0x186a0>;
xlnx,instance = "axi_iic_mt9d111";
xlnx,scl-inertial-delay = <0x0>;
xlnx,sda-inertial-delay = <0x0>;
xlnx,sda-level = <0x1>;
xlnx,ten-bit-adr = <0x0>;
} ;
masaaki@masaaki-VirtualBox:~/HDL/ZedBoard/ZedBoard_OOB_Design/linux/Work$ ~/HDL/ZedBoard/linux-digilent-master/scripts/dtc/dtc -O dtb -I dts -f -o devicetree_ramdisk.dtb devicetree_ramdisk.dts
DTC: dts->dtb on file "devicetree_ramdisk.dts"
ERROR (phandle_references): Reference to non-existent node or label "ps7_scugic_0"
Warning: Input tree has errors, output forced
devcfg@f8007000 {
compatible = "xlnx,ps7-dev-cfg-1.00.a";
reg = <0xf8007000 0x1000>;
interrupts = <0x0 0x8 0x0>;
interrupt-parent = <&gic>;
};
axi_dma: axidma@40400000 {
#address-cells = <0x1>;
#size-cells = <0x1>;
#dma-cells = <0x1>;
compatible = "xlnx,axi-dma";
reg = <0x40400000 0x1000>;
xlnx,sg-include-stscntrl-strm = <0x0>;
dma-channel@40400000 {
compatible = "xlnx,axi-dma-mm2s-channel";
interrupts = <0x0 0x3a 0x4>;
xlnx,datawidth = <0x20>;
xlnx,include-dre = <0x0>;
};
};
axi_iic_mt9d111: i2c@45000000 {
compatible = "xlnx,axi-iic-1.02.a", "xlnx,xps-iic-2.00.a";
interrupt-parent = <&gic>;
interrupts = < 0 55 4 >;
reg = < 0x45000000 0x10000 >;
xlnx,family = "zynq";
xlnx,gpo-width = <0x1>;
xlnx,iic-freq = <0x186a0>;
xlnx,instance = "axi_iic_mt9d111";
xlnx,scl-inertial-delay = <0x0>;
xlnx,sda-inertial-delay = <0x0>;
xlnx,sda-level = <0x1>;
xlnx,ten-bit-adr = <0x0>;
} ;
axi_spdif: axi-spdif-tx@0x75c00000 {
compatible = "adi,axi-spdif-tx-1.00.a";
reg = <0x75c00000 0x1000>;
clock-frequency = <0xbb8000>;
};
U-Boot 2012.04.01-00297-gc319bf9-dirty (Sep 13 2012 - 09:30:49)
DRAM: 512 MiB
WARNING: Caches not enabled
MMC: SDHCI: 0
Using default environment
In: serial
Out: serial
Err: serial
Net: zynq_gem
Hit any key to stop autoboot: 0
Copying Linux from SD to RAM...
Device: SDHCI
Manufacturer ID: 73
OEM: 4247
Name: NCard
Tran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 7.5 GiB
Bus Width: 1-bit
reading zImage
2450208 bytes read
reading devicetree_ramdisk.dtb
6204 bytes read
reading ramdisk8M.image.gz
3699284 bytes read
## Starting application at 0x00008000 ...
Uncompressing Linux... done, booting the kernel.
[ 0.000000] Booting Linux on physical CPU 0
[ 0.000000] Linux version 3.6.0-digilent-13.01 (masaaki@masaaki-VirtualBox) (gcc version 4.6.3 (Sourcery CodeBench Lite 2012.03-79) ) #6 SMP PREEMPT Fri Mar 8 19:52:15 JST 2013
[ 0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[ 0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[ 0.000000] Machine: Xilinx Zynq Platform, model: Xilinx Zynq ZED
[ 0.000000] bootconsole [earlycon0] enabled
[ 0.000000] Memory policy: ECC disabled, Data cache writealloc
[ 0.000000] BUG: mapping for 0xe0001000 at 0xfe001000 out of vmalloc space
[ 0.000000] PERCPU: Embedded 7 pages/cpu @c1408000 s6976 r8192 d13504 u32768
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 130048
[ 0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/ram rw initrd=0x800000,8M earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=0
[ 0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes)
[ 0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes)
[ 0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes)
[ 0.000000] Memory: 512MB = 512MB total
[ 0.000000] Memory: 506768k/506768k available, 17520k reserved, 0K highmem
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] vector : 0xffff0000 - 0xffff1000 ( 4 kB)
[ 0.000000] fixmap : 0xfff00000 - 0xfffe0000 ( 896 kB)
[ 0.000000] vmalloc : 0xe0800000 - 0xfd000000 ( 456 MB)
[ 0.000000] lowmem : 0xc0000000 - 0xe0000000 ( 512 MB)
[ 0.000000] pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
[ 0.000000] modules : 0xbf000000 - 0xbfe00000 ( 14 MB)
[ 0.000000] .text : 0xc0008000 - 0xc0423984 (4207 kB)
[ 0.000000] .init : 0xc0424000 - 0xc0449b40 ( 151 kB)
[ 0.000000] .data : 0xc044a000 - 0xc04828e0 ( 227 kB)
[ 0.000000] .bss : 0xc0482904 - 0xc04990b0 ( 90 kB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Dump stacks of tasks blocking RCU-preempt GP.
[ 0.000000] RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[ 0.000000] NR_IRQS:512
[ 0.000000] Zynq clock init
[ 0.000000] xlnx,ps7-ttc-1.00.a #0 at 0xe0800000, irq=43
[ 0.000000] ------------[ cut here ]------------
[ 0.000000] WARNING: at arch/arm/kernel/smp_twd.c:389 time_init+0x20/0x30()
[ 0.000000] twd_local_timer_of_register failed (-19)
[ 0.000000] Modules linked in:
[ 0.000000] [] (unwind_backtrace+0x0/0xe0) from [ ] (warn_slowpath_common+0x4c/0x64)
[ 0.000000] [] (warn_slowpath_common+0x4c/0x64) from [ ] (warn_slowpath_fmt+0x2c/0x3c)
[ 0.000000] [] (warn_slowpath_fmt+0x2c/0x3c) from [ ] (time_init+0x20/0x30)
[ 0.000000] [] (time_init+0x20/0x30) from [ ] (start_kernel+0x1ac/0x2f0)
[ 0.000000] [] (start_kernel+0x1ac/0x2f0) from [<00008044>] (0x8044)
[ 0.000000] ---[ end trace 1b75b31a2719ed1c ]---
[ 0.000000] sched_clock: 32 bits at 100 Hz, resolution 10000000ns, wraps every 4294967286ms
[ 0.000000] Console: colour dummy device 80x30
[ 0.020000] Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096)
[ 0.110000] pid_max: default: 32768 minimum: 301
[ 0.110000] Mount-cache hash table entries: 512
[ 0.110000] CPU: Testing write buffer coherency: ok
[ 0.120000] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[ 0.120000] hw perfevents: enabled with ARMv7 Cortex-A9 PMU driver, 7 counters available
[ 0.120000] Setting up static identity map for 0x2ed340 - 0x2ed374
[ 0.130000] L310 cache controller enabled
[ 0.130000] l2x0: 8 ways, CACHE_ID 0x410000c8, AUX_CTRL 0x72360000, Cache size: 524288 B
[ 0.200000] Map SLCR registers
[ 0.200000] CPU1: Booted secondary processor
[ 0.290000] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[ 0.290000] Brought up 2 CPUs
[ 0.290000] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[ 0.300000] devtmpfs: initialized
[ 0.300000] NET: Registered protocol family 16
[ 0.310000] DMA: preallocated 256 KiB pool for atomic coherent allocations
[ 0.320000] xgpiops e000a000.gpio: gpio at 0xe000a000 mapped to 0xe084a000
[ 0.320000] registering platform device 'pl330' id 0
[ 0.330000] registering platform device 'arm-pmu' id 0
[ 0.330000] registering platform device 'zynq-dvfs' id 0
[ 0.340000]
[ 0.340000] ###############################################
[ 0.340000] # #
[ 0.350000] # Board ZED Init #
[ 0.350000] # #
[ 0.360000] ###############################################
[ 0.360000]
[ 0.370000] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[ 0.380000] hw-breakpoint: maximum watchpoint size is 4 bytes.
[ 0.400000] xslcr xslcr.0: at 0xF8000000 mapped to 0xF8000000
[ 0.420000] bio: create slabat 0
[ 0.420000] SCSI subsystem initialized
[ 0.420000] usbcore: registered new interface driver usbfs
[ 0.420000] usbcore: registered new interface driver hub
[ 0.430000] usbcore: registered new device driver usb
[ 0.440000] Advanced Linux Sound Architecture Driver Version 1.0.25.
[ 0.440000] Switching to clocksource xttcpss_timer1
[ 0.460000] Clockevents: could not switch to one-shot mode:
[ 0.460000] Clockevents: could not switch to one-shot mode: dummy_timer is not functional.
[ 0.460000] Could not switch to high resolution mode on CPU 1
[ 0.460000] dummy_timer is not functional.
[ 0.470000] Could not switch to high resolution mode on CPU 0
[ 0.490000] NET: Registered protocol family 2
[ 0.490000] TCP established hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.490000] TCP bind hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.500000] TCP: Hash tables configured (established 16384 bind 16384)
[ 0.510000] TCP: reno registered
[ 0.510000] UDP hash table entries: 256 (order: 1, 8192 bytes)
[ 0.510000] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[ 0.520000] NET: Registered protocol family 1
[ 0.530000] Trying to unpack rootfs image as initramfs...
[ 0.530000] rootfs image is not initramfs (no cpio magic); looks like an initrd
[ 0.570000] Freeing initrd memory: 8192K
[ 0.570000] pl330 dev 0 probe success
[ 0.580000] msgmni has been set to 1005
[ 0.580000] io scheduler noop registered
[ 0.580000] io scheduler deadline registered
[ 0.590000] io scheduler cfq registered (default)
[ 0.590000] xuartps e0001000.uart: failed to get alias id, errno -19
[ 0.600000] e00rイconsole [ttyPS0] enabled, bootconsole disabled
[ 0.600000] console [ttyPS0] enabled, bootconsole disabled
[ 0.610000] xdevcfg f8007000.devcfg: ioremap f8007000 to e0852000 with size 1000
[ 0.620000] [drm] Initialized drm 1.1.0 20060810
[ 0.640000] brd: module loaded
[ 0.650000] loop: module loaded
[ 0.650000] xqspips e000d000.qspi: master is unqueued, this is deprecated
[ 0.660000] xqspips e000d000.qspi: at 0xE000D000 mapped to 0xE0854000, irq=51
[ 0.670000] libphy: XEMACPS mii bus: probed
[ 0.670000] xemacps e000b000.eth: Could not find MAC address in device tree, use default
[ 0.680000] xemacps e000b000.eth: pdev->id -1, baseaddr 0xe000b000, irq 54
[ 0.690000] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[ 0.690000] xusbps-ehci xusbps-ehci.0: Xilinx PS USB EHCI Host Controller
[ 0.700000] xusbps-ehci xusbps-ehci.0: new USB bus registered, assigned bus number 1
[ 0.740000] xusbps-ehci xusbps-ehci.0: irq 53, io mem 0x00000000
[ 0.760000] xusbps-ehci xusbps-ehci.0: USB 2.0 started, EHCI 1.00
[ 0.760000] hub 1-0:1.0: USB hub found
[ 0.770000] hub 1-0:1.0: 1 port detected
[ 0.770000] Initializing USB Mass Storage driver...
[ 0.770000] usbcore: registered new interface driver usb-storage
[ 0.780000] USB Mass Storage support registered.
[ 0.790000] mousedev: PS/2 mouse device common for all mice
[ 0.790000] xwdtps f8005000.swdt: Xilinx Watchdog Timer at 0xe085c000 with timeout 10s
[ 0.800000] sdhci: Secure Digital Host Controller Interface driver
[ 0.810000] sdhci: Copyright(c) Pierre Ossman
[ 0.810000] sdhci-pltfm: SDHCI platform and OF driver helper
[ 0.820000] mmc0: Invalid maximum block size, assuming 512 bytes
[ 0.870000] mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using ADMA
[ 0.870000] usbcore: registered new interface driver usbhid
[ 0.880000] usbhid: USB HID core driver
[ 0.890000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 0.890000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 0.900000] TCP: cubic registered
[ 0.910000] NET: Registered protocol family 17
[ 0.910000] VFP support v0.3: [ 0.910000] new mode: 1920x1080 2200x1125 148500
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 1280x720 1650x750 74250
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 1680x1050 1840x1080 119000
[ 0.910000] new mode: 1280x1024 1728x1067 132840
[ 0.910000] new mode: 1280x1024 1728x1066 128946
[ 0.910000] new mode: 1280x1024 1688x1066 108000
[ 0.910000] new mode: 1280x960 1800x1000 108000
[ 0.910000] new mode: 1280x800 1440x823 71000
[ 0.910000] new mode: 800x600 1056x628 40000
[ 0.910000] new mode: 800x600 1024x625 36000
[ 0.910000] new mode: 640x480 840x500 31500
[ 0.910000] new mode: 640x480 832x520 31500
[ 0.910000] new mode: 640x480 864x525 30240
[ 0.910000] new mode: 640x480 800x525 25200
[ 0.910000] new mode: 720x400 900x449 28320
[ 0.910000] new mode: 1280x1024 1688x1066 135000
[ 0.910000] new mode: 1024x768 1312x800 78800
[ 0.910000] new mode: 1024x768 1328x806 75000
[ 0.910000] new mode: 1024x768 1344x806 65000
[ 0.910000] new mode: 832x624 1152x667 57284
[ 0.910000] new mode: 800x600 1056x625 49500
[ 0.910000] new mode: 800x600 1040x666 50000
[ 0.910000] new mode: 848x480 1088x517 33750
[ 0.910000] new mode: 1152x864 1600x900 108000
[ 0.910000] new mode: 1280x768 1440x790 68250
[ 0.910000] new mode: 1280x768 1696x805 102250
[ 0.910000] new mode: 1280x800 1696x838 106500
[ 0.910000] new mode: 1360x768 1792x795 85500
[ 0.910000] new mode: 1400x1050 1560x1080 101000
[ 0.910000] new mode: 1400x1050 1896x1099 156000
[ 0.910000] new mode: 1440x900 1600x926 88750
[ 0.910000] new mode: 1440x900 1936x942 136750
[ 0.910000] new mode: 1024x576 1312x597 46970
[ 0.910000] new mode: 1366x768 1800x795 85885
[ 0.910000] new mode: 1600x900 2128x932 118963
[ 0.910000] new mode: 1680x945 2240x978 131481
[ 0.910000] new mode: 640x480 800x525 25175
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 1280x720 1650x750 74250
[ 0.910000] new mode: 1920x1080 2200x1125 74250
[ 0.910000] new mode: 1920x1080 2200x1125 148500
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 1280x720 1980x750 74250
[ 0.910000] new mode: 1920x1080 2640x1125 74250
[ 0.910000] new mode: 1440x576 1728x625 54000
[ 0.910000] new mode: 1920x1080 2640x1125 148500
[ 0.910000] new mode: 1920x1080 2200x1125 148500
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 1280x720 1650x750 74250
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 800x600 1056x628 40000
[ 0.910000] new mode: 800x600 1024x625 36000
[ 0.910000] new mode: 640x480 840x500 31500
[ 0.910000] new mode: 640x480 832x520 31500
[ 0.910000] new mode: 640x480 864x525 30240
[ 0.910000] new mode: 640x480 800x525 25200
[ 0.910000] new mode: 720x400 900x449 28320
[ 0.910000] new mode: 1280x1024 1688x1066 135000
[ 0.910000] new mode: 1024x768 1312x800 78800
[ 0.910000] new mode: 1024x768 1328x806 75000
[ 0.910000] new mode: 1024x768 1344x806 65000
[ 0.910000] new mode: 832x624 1152x667 57284
[ 0.910000] new mode: 800x600 1056x625 49500
[ 0.910000] new mode: 800x600 1040x666 50000
[ 0.910000] new mode: 640x480 800x525 25175
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 720x480 858x525 27000
[ 0.910000] new mode: 1280x720 1650x750 74250
[ 0.910000] new mode: 1920x1080 2200x1125 74250
[ 0.910000] new mode: 1920x1080 2200x1125 148500
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 720x576 864x625 27000
[ 0.910000] new mode: 1280x720 1980x750 74250
[ 0.910000] new mode: 1920x1080 2640x1125 74250
[ 0.910000] new mode: 1440x576 1728x625 54000
[ 0.910000] new mode: 1920x1080 2640x1125 148500
Modeline 84:"1920x1080" 0 74250 1920 2448 2492 2640 1080 1084 1094 1125 0x40 0x15
Not using 1920x1080 mode 7
Modeline 79:"1920x1080" 0 74250 1920 2008 2052 2200 1080 1084 1094 1125 0x40 0x15
Not using 1920x1080 mode 7
[CONNECTOR:5:HDMI-A-1] probed modes :
Modeline 80:"1920x1080" 60 148500 1920 2008 2052 2200 1080 1084 1089 1125 0x48 0x5
Modeline 86:"1920x1080" 50 148500 1920 2448 2492 2640 1080 1084 1089 1125 0x40 0x5
Modeline 11:"1680x1050" 60 119000 1680 1728 1760 1840 1050 1053 1059 1080 0x40 0x9
Modeline 44:"1680x945" 60 131481 1680 1784 1960 2240 945 946 949 978 0x0 0x6
Modeline 38:"1400x1050" 75 156000 1400 1504 1648 1896 1050 1053 1057 1099 0x40 0x6
Modeline 37:"1400x1050" 60 101000 1400 1448 1480 1560 1050 1053 1057 1080 0x40 0x9
Modeline 43:"1600x900" 60 118963 1600 1696 1864 2128 900 901 904 932 0x0 0x6
Modeline 68:"1280x1024" 75 135000 1280 1296 1440 1688 1024 1025 1028 1066 0x40 0x5
Modeline 12:"1280x1024" 72 132840 1280 1368 1504 1728 1024 1025 1028 1067 0x0 0x6
Modeline 13:"1280x1024" 70 128946 1280 1368 1504 1728 1024 1025 1028 1066 0x0 0x6
Modeline 14:"1280x1024" 60 108000 1280 1328 1440 1688 1024 1025 1028 1066 0x40 0x5
Modeline 40:"1440x900" 75 136750 1440 1536 1688 1936 900 903 909 942 0x40 0x6
Modeline 39:"1440x900" 60 88750 1440 1488 1520 1600 900 903 909 926 0x40 0x9
Modeline 15:"1280x960" 60 108000 1280 1376 1488 1800 960 961 964 1000 0x40 0x5
Modeline 42:"1366x768" 60 85885 1366 1439 1583 1800 768 769 772 795 0x0 0x6
Modeline 36:"1360x768" 60 85500 1360 1424 1536 1792 768 771 777 795 0x40 0x5
Modeline 35:"1280x800" 75 106500 1280 1360 1488 1696 800 803 809 838 0x40 0x6
Modeline 16:"1280x800" 60 71000 1280 1328 1360 1440 800 803 809 823 0x40 0x9
Modeline 32:"1152x864" 75 108000 1152 1216 1344 1600 864 865 868 900 0x40 0x5
Modeline 34:"1280x768" 75 102250 1280 1360 1488 1696 768 771 778 805 0x40 0x9
Modeline 33:"1280x768" 60 68250 1280 1328 1360 1440 768 771 778 790 0x40 0x9
Modeline 83:"1280x720" 50 74250 1280 1720 1760 1980 720 725 730 750 0x40 0x5
Modeline 78:"1280x720" 60 74250 1280 1390 1430 1650 720 725 730 750 0x40 0x5
Modeline 85:"1440x576" 50 54000 1440 1464 1592 1728 576 581 586 625 0x40 0xa
Modeline 69:"1024x768" 75 78800 1024 1040 1136 1312 768 769 772 800 0x40 0x5
Modeline 70:"1024x768" 70 75000 1024 1048 1184 1328 768 771 777 806 0x40 0xa
Modeline 71:"1024x768" 60 65000 1024 1048 1184 1344 768 771 777 806 0x40 0xa
Modeline 41:"1024x576" 60 46970 1024 1064 1168 1312 576 577 580 597 0x0 0x6
Modeline 72:"832x624" 75 57284 832 864 928 1152 624 625 628 667 0x40 0xa
Modeline 74:"800x600" 72 50000 800 856 976 1040 600 637 643 666 0x40 0x5
Modeline 73:"800x600" 75 49500 800 816 896 1056 600 601 604 625 0x40 0x5
Modeline 61:"800x600" 60 40000 800 840 968 1056 600 601 605 628 0x40 0x5
Modeline 62:"800x600" 56 36000 800 824 896 1024 600 601 603 625 0x40 0x5
Modeline 82:"720x576" 50 27000 720 732 796 864 576 581 586 625 0x40 0xa
Modeline 31:"848x480" 60 33750 848 864 976 1088 480 486 494 517 0x40 0x5
Modeline 77:"720x480" 60 27000 720 736 798 858 480 489 495 525 0x40 0xa
Modeline 64:"640x480" 73 31500 640 664 704 832 480 489 491 520 0x40 0xa
Modeline 63:"640x480" 75 31500 640 656 720 840 480 481 484 500 0x40 0xa
Modeline 65:"640x480" 67 30240 640 704 768 864 480 483 486 525 0x40 0xa
Modeline 66:"640x480" 60 25200 640 656 752 800 480 490 492 525 0x40 0xa
Modeline 75:"640x480" 60 25175 640 656 752 800 480 490 492 525 0x40 0xa
Modeline 67:"720x400" 70 28320 720 738 846 900 400 412 414 449 0x40 0x6
connector 5 enabled? yes
looking for cmdline mode on connector 5
looking for preferred mode on connector 5
found mode 1920x1080
picking CRTCs for 4096x4096 config
desired mode 1920x1080 set on crtc 3
surface width(1920), height(1080) and bpp(32
[ 0.920000] mmc0: new high speed SDHC card at address b368
[ 0.940000] fbi->screen_base = 0xe08d1000
[ 0.940000] fbi->fix.smem_start = 0x19000000
[ 0.940000] fbi->screen_size = 0x7e9000
[ 1.610000] implementor 41 architecture 3 part 30 variant 9 rev 4
[ 1.610000] Registering SWP/SWPB emulation handler
[ 1.610000] mmcblk0: mmc0:b368 NCard 7.48 GiB
[ 1.620000] registered taskstats version 1
[ 1.620000] drivers/rtc/hctosys.c: unable to open rtc device (rtc0)
[ 1.620000] ALSA device list:
[ 1.620000] No soundcards found.
[ 1.620000] setting clock to: 148500
[ 1.620000] raw_edid: d8c0cd80 7
[ 1.620000] Using YCbCr output
[ 1.620000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 1.620000] mmcblk0: p1
[ 1.620000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 1.620000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 1.620000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 1.660000] Console: switching to colour frame buffer device 240x67
[ 1.760000] fb0: frame buffer device
[ 1.760000] drm: registered panic notifier
[ 1.760000] [drm] Initialized analog_drm 1.0.0 20110530 on minor 0
[ 1.780000] RAMDISK: gzip image found at block 0
[ 2.090000] EXT4-fs (ram0): warning: mounting unchecked fs, running e2fsck is recommended
[ 2.100000] EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
[ 2.110000] VFS: Mounted root (ext4 filesystem) on device 1:0.
[ 2.110000] Freeing init memory: 148K
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
++ Configure static IP 192.168.3.130
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting dropbear (ssh) daemon
++ Starting OLED Display
insmod: can't read '/lib/modules/3.6.0-digilent-13.01/pmodoled-gpio.ko': No such file or directory
++ Exporting LEDs & SWs
rcS Complete
zynq> [ 5.350000] xemacps e000b000.eth: Set clk to 24999999 Hz
[ 5.350000] xemacps e000b000.eth: link up (100/FULL)
Error: unrecognized/unsupported device tree compatible list:
[ 'xlnx,zynq-zc770' ]
Available machine support:
ID (hex) NAME
00000d32 Xilinx Zynq Platform
00000d32 Xilinx Zynq Platform
Please check your kernel config and/or bootloader.
/dts-v1/;
/ {
model = "Xilinx Zynq ZED";
compatible = "xlnx,zynq-zed";
#address-cells = <0x1>;
#size-cells = <0x1>;
interrupt-parent = <&gic>;
/dts-v1/;
/ {
#address-cells = <1>;
#size-cells = <1>;
compatible = "xlnx,zynq-zc770";
model = "Xilinx Zynq";
U-Boot 2012.04.01-00297-gc319bf9-dirty (Sep 13 2012 - 09:30:49)
DRAM: 512 MiB
WARNING: Caches not enabled
MMC: SDHCI: 0
Using default environment
In: serial
Out: serial
Err: serial
Net: zynq_gem
Hit any key to stop autoboot: 0
Copying Linux from SD to RAM...
Device: SDHCI
Manufacturer ID: 73
OEM: 4247
Name: NCard
Tran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 7.5 GiB
Bus Width: 1-bit
reading zImage
2450208 bytes read
reading devicetree_ramdisk.dtb
9688 bytes read
reading ramdisk8M.image.gz
3699284 bytes read
## Starting application at 0x00008000 ...
Uncompressing Linux... done, booting the kernel.
[ 0.000000] Booting Linux on physical CPU 0
[ 0.000000] Linux version 3.6.0-digilent-13.01 (masaaki@masaaki-VirtualBox) (gcc version 4.6.3 (Sourcery CodeBench Lite 2012.03-79) ) #6 SMP PREEMPT Fri Mar 8 19:52:15 JST 2013
[ 0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[ 0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[ 0.000000] Machine: Xilinx Zynq Platform, model: Xilinx Zynq ZED
[ 0.000000] bootconsole [earlycon0] enabled
[ 0.000000] Memory policy: ECC disabled, Data cache writealloc
[ 0.000000] BUG: mapping for 0xe0001000 at 0xfe001000 out of vmalloc space
[ 0.000000] PERCPU: Embedded 7 pages/cpu @c140a000 s6976 r8192 d13504 u32768
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 130048
[ 0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/ram rw initrd=0x800000,8M earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=0
[ 0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes)
[ 0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes)
[ 0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes)
[ 0.000000] Memory: 512MB = 512MB total
[ 0.000000] Memory: 506760k/506760k available, 17528k reserved, 0K highmem
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] vector : 0xffff0000 - 0xffff1000 ( 4 kB)
[ 0.000000] fixmap : 0xfff00000 - 0xfffe0000 ( 896 kB)
[ 0.000000] vmalloc : 0xe0800000 - 0xfd000000 ( 456 MB)
[ 0.000000] lowmem : 0xc0000000 - 0xe0000000 ( 512 MB)
[ 0.000000] pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
[ 0.000000] modules : 0xbf000000 - 0xbfe00000 ( 14 MB)
[ 0.000000] .text : 0xc0008000 - 0xc0423984 (4207 kB)
[ 0.000000] .init : 0xc0424000 - 0xc0449b40 ( 151 kB)
[ 0.000000] .data : 0xc044a000 - 0xc04828e0 ( 227 kB)
[ 0.000000] .bss : 0xc0482904 - 0xc04990b0 ( 90 kB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Dump stacks of tasks blocking RCU-preempt GP.
[ 0.000000] RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[ 0.000000] NR_IRQS:512
[ 0.000000] Zynq clock init
[ 0.000000] xlnx,ps7-ttc-1.00.a #0 at 0xe0800000, irq=43
[ 0.000000] sched_clock: 32 bits at 100 Hz, resolution 10000000ns, wraps every 4294967286ms
[ 0.000000] Console: colour dummy device 80x30
[ 0.010000] Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096)
[ 0.100000] pid_max: default: 32768 minimum: 301
[ 0.100000] Mount-cache hash table entries: 512
[ 0.100000] CPU: Testing write buffer coherency: ok
[ 0.110000] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[ 0.110000] hw perfevents: enabled with ARMv7 Cortex-A9 PMU driver, 7 counters available
[ 0.110000] Setting up static identity map for 0x2ed340 - 0x2ed374
[ 0.120000] L310 cache controller enabled
[ 0.120000] l2x0: 8 ways, CACHE_ID 0x410000c8, AUX_CTRL 0x72360000, Cache size: 524288 B
[ 0.190000] Map SLCR registers
[ 0.190000] CPU1: Booted secondary processor
[ 0.280000] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[ 0.280000] Brought up 2 CPUs
[ 0.280000] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[ 0.290000] devtmpfs: initialized
[ 0.290000] NET: Registered protocol family 16
[ 0.300000] DMA: preallocated 256 KiB pool for atomic coherent allocations
[ 0.310000] xgpiops e000a000.ps7-gpio: gpio at 0xe000a000 mapped to 0xe084a000
[ 0.310000] registering platform device 'pl330' id 0
[ 0.320000] registering platform device 'arm-pmu' id 0
[ 0.320000] registering platform device 'zynq-dvfs' id 0
[ 0.330000]
[ 0.330000] ###############################################
[ 0.330000] # #
[ 0.340000] # Board ZED Init #
[ 0.340000] # #
[ 0.350000] ###############################################
[ 0.350000]
[ 0.360000] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[ 0.370000] hw-breakpoint: maximum watchpoint size is 4 bytes.
[ 0.390000] xslcr xslcr.0: at 0xF8000000 mapped to 0xF8000000
[ 0.410000] bio: create slabat 0
[ 0.410000] SCSI subsystem initialized
[ 0.410000] usbcore: registered new interface driver usbfs
[ 0.420000] usbcore: registered new interface driver hub
[ 0.420000] usbcore: registered new device driver usb
[ 0.430000] Advanced Linux Sound Architecture Driver Version 1.0.25.
[ 0.430000] Switching to clocksource xttcpss_timer1
[ 0.450000] NET: Registered protocol family 2
[ 0.450000] TCP established hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.460000] TCP bind hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.460000] TCP: Hash tables configured (established 16384 bind 16384)
[ 0.470000] TCP: reno registered
[ 0.470000] UDP hash table entries: 256 (order: 1, 8192 bytes)
[ 0.480000] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[ 0.490000] NET: Registered protocol family 1
[ 0.490000] Trying to unpack rootfs image as initramfs...
[ 0.500000] rootfs image is not initramfs (no cpio magic); looks like an initrd
[ 0.540000] Freeing initrd memory: 8192K
[ 0.540000] pl330 dev 0 probe success
[ 0.540000] msgmni has been set to 1005
[ 0.540000] io scheduler noop registered
[ 0.550000] io scheduler deadline registered
[ 0.550000] io scheduler cfq registered (default)
[ 0.560000] e0001rェイconsole [ttyPS0] enabled, bootconsole disabled
[ 0.560000] console [ttyPS0] enabled, bootconsole disabled
[ 0.570000] xdevcfg f8007000.ps7-dev-cfg: ioremap f8007000 to e084e000 with size 1000
[ 0.580000] [drm] Initialized drm 1.1.0 20060810
[ 0.600000] brd: module loaded
[ 0.600000] loop: module loaded
[ 0.610000] xqspips e000d000.ps7-qspi: master is unqueued, this is deprecated
[ 0.620000] xqspips e000d000.ps7-qspi: at 0xE000D000 mapped to 0xE0850000, irq=51
[ 0.630000] libphy: XEMACPS mii bus: probed
[ 0.630000] xemacps e000b000.ps7-ethernet: pdev->id -1, baseaddr 0xe000b000, irq 54
[ 0.640000] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[ 0.650000] xusbps-ehci xusbps-ehci.0: Xilinx PS USB EHCI Host Controller
[ 0.650000] xusbps-ehci xusbps-ehci.0: new USB bus registered, assigned bus number 1
[ 0.690000] xusbps-ehci xusbps-ehci.0: irq 53, io mem 0x00000000
[ 0.710000] xusbps-ehci xusbps-ehci.0: USB 2.0 started, EHCI 1.00
[ 0.710000] hub 1-0:1.0: USB hub found
[ 0.720000] hub 1-0:1.0: 1 port detected
[ 0.720000] Initializing USB Mass Storage driver...
[ 0.720000] usbcore: registered new interface driver usb-storage
[ 0.730000] USB Mass Storage support registered.
[ 0.740000] mousedev: PS/2 mouse device common for all mice
[ 0.740000] sdhci: Secure Digital Host Controller Interface driver
[ 0.750000] sdhci: Copyright(c) Pierre Ossman
[ 0.750000] sdhci-pltfm: SDHCI platform and OF driver helper
[ 0.760000] mmc0: Invalid maximum block size, assuming 512 bytes
[ 0.810000] mmc0: SDHCI controller on e0100000.ps7-sdio [e0100000.ps7-sdio] using ADMA
[ 0.820000] usbcore: registered new interface driver usbhid
[ 0.830000] usbhid: USB HID core driver
[ 0.840000] TCP: cubic registered
[ 0.840000] NET: Registered protocol family 17
[ 0.850000] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
[ 0.860000] Registering SWP/SWPB emulation handler
[ 0.860000] registered taskstats version 1
[ 0.870000] drivers/rtc/hctosys.c: unable to open rtc device (rtc0)
[ 0.870000] ALSA device list:
[ 0.880000] No soundcards found.
[ 0.880000] RAMDISK: gzip image found at block 0
[ 0.890000] mmc0: new high speed SDHC card at address b368
[ 0.910000] mmcblk0: mmc0:b368 NCard 7.48 GiB
[ 0.910000] mmcblk0: p1
[ 1.220000] EXT4-fs (ram0): warning: mounting unchecked fs, running e2fsck is recommended
[ 1.220000] EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
[ 1.230000] VFS: Mounted root (ext4 filesystem) on device 1:0.
[ 1.240000] Freeing init memory: 148K
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
++ Configure static IP 192.168.3.130
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting dropbear (ssh) daemon
++ Starting OLED Display
insmod: can't read '/lib/modules/3.6.0-digilent-13.01/pmodoled-gpio.ko': No such file or directory
++ Exporting LEDs & SWs
rcS Complete
zynq> [ 3.480000] xemacps e000b000.ps7-ethernet: Set clk to 124999998 Hz
[ 3.480000] xemacps e000b000.ps7-ethernet: link up (1000/FULL)
ERROR:EDK - device-tree () - can't read "vdma_device_id": no such variable
ERROR:EDK:3416 - Error(s) while running TCL procedure generate().
make: *** [ps7_cortexa9_0/lib/libxil.a] エラー 2
make: ターゲット `all' はエラーにより再 make できませんでした.
14.4 CORE Generator - WebPACK ツール インストールを使用していると IP コアを作成できない
U-Boot 2012.04.01-00297-gc319bf9-dirty (Sep 13 2012 - 09:30:49)
DRAM: 512 MiB
WARNING: Caches not enabled
MMC: SDHCI: 0
Using default environment
In: serial
Out: serial
Err: serial
Net: zynq_gem
Hit any key to stop autoboot: 0
Copying Linux from SD to RAM...
Device: SDHCI
Manufacturer ID: 73
OEM: 4247
Name: NCard
Tran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 7.5 GiB
Bus Width: 1-bit
reading zImage
2449976 bytes read
reading devicetree_ramdisk.dtb
5817 bytes read
reading ramdisk8M.image.gz
3699284 bytes read
## Starting application at 0x00008000 ...
Uncompressing Linux... done, booting the kernel.
[ 0.000000] Booting Linux on physical CPU 0
axi_vdma: axivdma@43000000 {
#address-cells = <0x1>;
#size-cells = <0x1>;
#dma-cells = <0x1>;
compatible = "xlnx,axi-vdma";
reg = <0x43000000 0x1000>;
xlnx,include-sg = <0x0>;
xlnx,num-fstores = <0x3>;
dma-channel@43000000 {
compatible = "xlnx,axi-vdma-mm2s-channel";
interrupts = <0x0 0x3b 0x4>;
xlnx,datawidth = <0x40>;
xlnx,genlock-mode = <0x0>;
xlnx,include-dre = <0x0>;
};
};
[ 0.000000] Linux version 3.6.0-digilent-13.01 (masaaki@masaaki-VirtualBox) (gcc version 4.6.3 (Sourcery CodeBench Lite 2012.03-79) ) #1 SMP PREEMPT Sun Mar 3 06:20:07 JST 2013
struct fb_info *fbi;
fbi = framebuffer_alloc(0, dev->dev);
dev->mode_config.fb_base = (resource_size_t)obj->paddr;
fbi->screen_base = obj->vaddr + offset;
printk("fbi->screen_base = 0x%x\n" ,fbi->screen_base); // DEBUG Message by Masaaki
fbi->fix.smem_start = (unsigned long)(obj->paddr + offset);
printk("fbi->fix.smem_start = 0x%x\n" ,fbi->fix.smem_start); // DEBUG Message by Masaaki
fbi->screen_size = size;
printk("fbi->screen_size = 0x%x\n" ,fbi->screen_size); // DEBUG Message by Masaaki
fbi->fix.smem_len = size;
[ 0.960000] fbi->screen_base = 0xe08b1000
[ 0.960000] fbi->fix.smem_start = 0x19000000
[ 0.960000] fbi->screen_size = 0x7e9000
[ 1.030000] fbi->screen_base = 0xe08b1000
[ 1.030000] fbi->fix.smem_start = 0x19000000
[ 1.030000] fbi->screen_size = 0x7e9000
[ 1.010000] fbi->screen_base = 0xe08b1000
[ 1.010000] fbi->fix.smem_start = 0x19000000
[ 1.010000] fbi->screen_size = 0x7e9000
[ 1.360000] fbi->screen_base = 0xe08b1000
[ 1.370000] fbi->fix.smem_start = 0x19800000
[ 1.370000] fbi->screen_size = 0x7e9000
++ Setting up mdev
[ 1.320000] new mode: 1280x720 1650x750 74250
[ 1.320000] new mode: 1920x1080 2200x1125 74250
[ 1.330000] new mode: 1920x1080 2200x1125 148500
[ 1.330000] new mode: 720x576 864x625 27000
[ 1.340000] new mode: 720x576 864x625 27000
[ 1.340000] new mode: 1280x720 1980x750 74250
[ 1.350000] new mode: 1920x1080 2640x1125 74250
[ 1.350000] new mode: 1440x576 1728x625 54000
[ 1.360000] new mode: 1920x1080 2640x1125 148500
[ 1.380000] fbi->screen_base = 0xe08b1000
[ 1.380000] fbi->fix.smem_start = 0x19800000
[ 1.390000] fbi->screen_size = 0x7e9000
[ 1.390000] setting clock to: 148500
[ 1.390000] raw_edid: d8b15b80 7
[ 1.390000] Using YCbCr output
[ 1.440000] Console: switching to colour frame buffer device 240x67
[ 1.490000] fb0: frame buffer device
[ 1.490000] drm: registered panic notifier
[ 1.490000] [drm] Initialized analog_drm 1.0.0 20110530 on minor 0
U-Boot 2012.04.01-00297-gc319bf9-dirty (Sep 13 2012 - 09:30:49)
DRAM: 512 MiB
WARNING: Caches not enabled
MMC: SDHCI: 0
Using default environment
In: serial
Out: serial
Err: serial
Net: zynq_gem
Hit any key to stop autoboot: 0
Copying Linux from SD to RAM...
Device: SDHCI
Manufacturer ID: 73
OEM: 4247
Name: NCard
Tran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 7.5 GiB
Bus Width: 1-bit
reading zImage
2479640 bytes read
reading devicetree_ramdisk.dtb
5817 bytes read
reading ramdisk8M.image.gz
3699284 bytes read
## Starting application at 0x00008000 ...
Uncompressing Linux... done, booting the kernel.
[ 0.000000] Booting Linux on physical CPU 0
[ 0.000000] Linux version 3.3.0-digilent-12.07-zed-beta (tinghui.wang@DIGILENT_LINUX) (gcc version 4.6.1 (Sourcery CodeBench Lite 2011.09-50) ) #2 SMP PREEMPT Thu Jul 12 21:01:42 PDT 2012
[ 0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[ 0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[ 0.000000] Machine: Xilinx Zynq Platform, model: Xilinx Zynq ZED
[ 0.000000] bootconsole [earlycon0] enabled
[ 0.000000] Memory policy: ECC disabled, Data cache writealloc
[ 0.000000] BUG: mapping for 0xf8f00000 at 0xfe00c000 out of vmalloc space
[ 0.000000] BUG: mapping for 0xe0000000 at 0xfe000000 out of vmalloc space
[ 0.000000] BUG: mapping for 0xffff1000 at 0xfe200000 out of vmalloc space
[ 0.000000] PERCPU: Embedded 7 pages/cpu @c1489000 s5696 r8192 d14784 u32768
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 125824
[ 0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/ram rw initrd=0x800000,8M earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=0
[ 0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes)
[ 0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes)
[ 0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes)
[ 0.000000] Memory: 240MB 256MB = 496MB total
[ 0.000000] Memory: 489856k/489856k available, 34432k reserved, 0K highmem
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] vector : 0xffff0000 - 0xffff1000 ( 4 kB)
[ 0.000000] fixmap : 0xfff00000 - 0xfffe0000 ( 896 kB)
[ 0.000000] vmalloc : 0xe0800000 - 0xfd000000 ( 456 MB)
[ 0.000000] lowmem : 0xc0000000 - 0xe0000000 ( 512 MB)
[ 0.000000] pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
[ 0.000000] modules : 0xbf000000 - 0xbfe00000 ( 14 MB)
[ 0.000000] .text : 0xc0008000 - 0xc042f040 (4253 kB)
[ 0.000000] .init : 0xc0430000 - 0xc0456640 ( 154 kB)
[ 0.000000] .data : 0xc0458000 - 0xc0485dc0 ( 184 kB)
[ 0.000000] .bss : 0xc0485de4 - 0xc049d734 ( 95 kB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Verbose stalled-CPUs detection is disabled.
[ 0.000000] NR_IRQS:128
[ 0.000000] xlnx,ps7-ttc-1.00.a #0 at 0xe0800000, irq=43
[ 0.000000] Console: colour dummy device 80x30
[ 0.000000] Calibrating delay loop... 1594.16 BogoMIPS (lpj=7970816)
[ 0.090000] pid_max: default: 32768 minimum: 301
[ 0.090000] Mount-cache hash table entries: 512
[ 0.090000] CPU: Testing write buffer coherency: ok
[ 0.090000] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[ 0.100000] smp_twd: clock not found: -2
[ 0.100000] Calibrating local timer... 399.36MHz.
[ 0.170000] hw perfevents: enabled with ARMv7 Cortex-A9 PMU driver, 7 counters available
[ 0.170000] Setting up static identity map for 0x2f8d48 - 0x2f8d7c
[ 0.270000] CPU1: Booted secondary processor
[ 0.310000] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[ 0.310000] Brought up 2 CPUs
[ 0.310000] SMP: Total of 2 processors activated (3188.32 BogoMIPS).
[ 0.320000] devtmpfs: initialized
[ 0.320000] ------------[ cut here ]------------
[ 0.320000] WARNING: at arch/arm/mm/dma-mapping.c:198 consistent_init+0x70/0x104()
[ 0.330000] Modules linked in:
[ 0.330000] [] (unwind_backtrace+0x0/0xe0) from [ ] (warn_slowpath_common+0x4c/0x64)
[ 0.340000] [] (warn_slowpath_common+0x4c/0x64) from [ ] (warn_slowpath_null+0x18/0x1c)
[ 0.350000] [] (warn_slowpath_null+0x18/0x1c) from [ ] (consistent_init+0x70/0x104)
[ 0.360000] [] (consistent_init+0x70/0x104) from [ ] (do_one_initcall+0x90/0x160)
[ 0.360000] [] (do_one_initcall+0x90/0x160) from [ ] (kernel_init+0x84/0x128)
[ 0.370000] [] (kernel_init+0x84/0x128) from [ ] (kernel_thread_exit+0x0/0x8)
[ 0.380000] ---[ end trace 1b75b31a2719ed1c ]---
[ 0.380000] ------------[ cut here ]------------
[ 0.390000] WARNING: at arch/arm/mm/dma-mapping.c:198 consistent_init+0x70/0x104()
[ 0.390000] Modules linked in:
[ 0.390000] [] (unwind_backtrace+0x0/0xe0) from [ ] (warn_slowpath_common+0x4c/0x64)
[ 0.400000] [] (warn_slowpath_common+0x4c/0x64) from [ ] (warn_slowpath_null+0x18/0x1c)
[ 0.410000] [] (warn_slowpath_null+0x18/0x1c) from [ ] (consistent_init+0x70/0x104)
[ 0.420000] [] (consistent_init+0x70/0x104) from [ ] (do_one_initcall+0x90/0x160)
[ 0.430000] [] (do_one_initcall+0x90/0x160) from [ ] (kernel_init+0x84/0x128)
[ 0.430000] [] (kernel_init+0x84/0x128) from [ ] (kernel_thread_exit+0x0/0x8)
[ 0.440000] ---[ end trace 1b75b31a2719ed1d ]---
[ 0.440000] NET: Registered protocol family 16
[ 0.460000] L310 cache controller enabled
[ 0.460000] l2x0: 8 ways, CACHE_ID 0x410000c8, AUX_CTRL 0x72360000, Cache size: 524288 B
[ 0.460000] registering platform device 'pl330' id 0
[ 0.470000] registering platform device 'arm-pmu' id 0
[ 0.470000]
[ 0.470000] ###############################################
[ 0.480000] # #
[ 0.480000] # Board ZED Init #
[ 0.480000] # #
[ 0.490000] ###############################################
[ 0.490000]
[ 0.500000] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[ 0.500000] hw-breakpoint: maximum watchpoint size is 4 bytes.
[ 0.520000] xslcr xslcr.0: at 0xF8000000 mapped to 0xE0808000
[ 0.540000] bio: create slabat 0
[ 0.540000] gpiochip_add: registered GPIOs 0 to 245 on device: xgpiops
[ 0.540000] xgpiops e000a000.gpio: gpio at 0xe000a000 mapped to 0xe080a000
[ 0.550000] SCSI subsystem initialized
[ 0.550000] usbcore: registered new interface driver usbfs
[ 0.560000] usbcore: registered new interface driver hub
[ 0.560000] usbcore: registered new device driver usb
[ 0.570000] Advanced Linux Sound Architecture Driver Version 1.0.24.
[ 0.570000] Switching to clocksource xttcpss_timer1
[ 0.580000] NET: Registered protocol family 2
[ 0.580000] IP route cache hash table entries: 4096 (order: 2, 16384 bytes)
[ 0.580000] TCP established hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.590000] TCP bind hash table entries: 16384 (order: 5, 196608 bytes)
[ 0.590000] TCP: Hash tables configured (established 16384 bind 16384)
[ 0.600000] TCP reno registered
[ 0.600000] UDP hash table entries: 256 (order: 1, 8192 bytes)
[ 0.610000] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[ 0.610000] NET: Registered protocol family 1
[ 0.620000] Trying to unpack rootfs image as initramfs...
[ 0.620000] rootfs image is not initramfs (no cpio magic); looks like an initrd
[ 0.650000] Freeing initrd memory: 8192K
[ 0.660000] xscugtimer xscugtimer.0: ioremap fe00c200 to e0810200 with size 400
[ 0.660000] pl330 dev 0 probe success
[ 0.670000] msgmni has been set to 972
[ 0.670000] io scheduler noop registered
[ 0.670000] io scheduler deadline registered
[ 0.670000] io scheduler cfq registered (default)
[ 0.680000] e00 0.680000] console [ttyPS0] enabled, bootconsole disabled
[ 0.680000] console [ttyPS0] enabled, bootconsole disabled
[ 0.690000] xdevcfg f8007000.devcfg: ioremap f8007000 to e0814000 with size 1000
[ 0.700000] [drm] Initialized drm 1.1.0 20060810
[ 0.710000] brd: module loaded
[ 0.720000] loop: module loaded
[ 0.720000] xqspips e000d000.qspi: at 0xE000D000 mapped to 0xE0816000, irq=51
[ 0.730000] GEM: BASEADDRESS hw: e000b000 virt: e0818000
[ 0.730000] XEMACPS mii bus: probed
[ 0.740000] eth0, pdev->id -1, baseaddr 0xe000b000, irq 54
[ 0.740000] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[ 0.750000] usb_hcd_xusbps_probe: No OTG assigned!
[ 0.750000] usb_hcd_xusbps_probe: OTG now assigned!
[ 0.750000] xusbps-ehci xusbps-ehci.0: Xilinx PS USB EHCI Host Controller
[ 0.760000] xusbps-ehci xusbps-ehci.0: new USB bus registered, assigned bus number 1
[ 0.800000] xusbps-ehci xusbps-ehci.0: irq 53, io mem 0x00000000
[ 0.820000] xusbps-ehci xusbps-ehci.0: USB 2.0 started, EHCI 1.00
[ 0.820000] hub 1-0:1.0: USB hub found
[ 0.820000] hub 1-0:1.0: 1 port detected
[ 0.830000] Initializing USB Mass Storage driver...
[ 0.830000] usbcore: registered new interface driver usb-storage
[ 0.840000] USB Mass Storage support registered.
[ 0.840000] Xilinx PS USB Device Controller driver (Apr 01, 2011)
[ 0.850000] mousedev: PS/2 mouse device common for all mice
[ 0.850000] Linux video capture interface: v2.00
[ 0.860000] gspca_main: v2.14.0 registered
[ 0.860000] usbcore: registered new interface driver uvcvideo
[ 0.860000] USB Video Class driver (1.1.1)
[ 0.870000] WDT OF probe
[ 0.870000] xwdtps f8005000.swdt: Xilinx Watchdog Timer at 0xe081c000 with timeout 10 seconds
[ 0.880000] sdhci: Secure Digital Host Controller Interface driver
[ 0.880000] sdhci: Copyright(c) Pierre Ossman
[ 0.890000] sdhci-pltfm: SDHCI platform and OF driver helper
[ 0.890000] mmc0: Invalid maximum block size, assuming 512 bytes
[ 0.900000] mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using ADMA
[ 0.910000] usbcore: registered new interface driver usbhid
[ 0.910000] usbhid: USB HID core driver
[ 0.920000] edid status: 1
[ 0.920000] adv7511 0-0039: Failed to add route DAI IN->TMDS
[ 0.940000] asoc: adv7511 <-> 75c00000.axi-spdif-tx mapping ok
[ 0.950000] axi-spdif 75c00000.axi-spdif-tx: Failed to set DAI format: -22
[ 0.960000] ALSA device list:
[ 0.960000] #0: HDMI monitor
[ 0.960000] edid ret: 4
[ 0.960000] TCP cubic registered
[ 0.970000] NET: Registered protocol family 17
[ 0.970000] i2c ret: 2
[ 0.970000] i2c ret: 2
[ 0.970000] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
[ 0.980000] i2c ret: 2
[ 0.980000] i2c ret: 2
[ 0.980000] new mode: 1920x1080 2200x1125 148500
[ 0.980000] new mode: 720x480 858x525 27000
[ 0.980000] new mode: 1280x720 1650x750 74250
[ 0.980000] new mode: 720x576 864x625 27000
[ 0.980000] new mode: 1680x1050 2240x1089 146250
[ 0.980000] new mode: 1280x1024 1728x1067 132840
[ 0.980000] new mode: 1280x1024 1728x1066 128946
[ 0.980000] new mode: 1280x1024 1688x1066 108000
[ 0.980000] new mode: 1280x960 1800x1000 108000
[ 0.980000] new mode: 1280x800 1680x831 83500
[ 0.980000] new mode: 800x600 1056x628 40000
[ 0.980000] new mode: 800x600 1024x625 36000
[ 0.980000] new mode: 640x480 840x500 31500
[ 0.980000] new mode: 640x480 832x520 31500
[ 0.980000] new mode: 640x480 864x525 30240
[ 0.980000] new mode: 640x480 800x525 25200
[ 0.980000] new mode: 720x400 900x449 28320
[ 0.980000] new mode: 1280x1024 1688x1066 135000
[ 0.980000] new mode: 1024x768 1312x800 78800
[ 0.980000] new mode: 1024x768 1328x806 75000
[ 0.980000] new mode: 1024x768 1344x806 65000
[ 0.980000] new mode: 832x624 1152x667 57284
[ 0.980000] new mode: 800x600 1056x625 49500
[ 0.980000] new mode: 800x600 1040x666 50000
[ 0.980000] new mode: 640x480 800x525 25175
[ 0.980000] new mode: 720x480 858x525 27000
[ 0.980000] new mode: 720x480 858x525 27000
[ 0.980000] new mode: 1280x720 1650x750 74250
[ 0.980000] new mode: 1920x1080 2200x1125 74250
[ 0.980000] new mode: 1920x1080 2200x1125 148500
[ 0.980000] new mode: 720x576 864x625 27000
[ 0.980000] new mode: 720x576 864x625 27000
[ 0.980000] new mode: 1280x720 1980x750 74250
[ 0.980000] new mode: 1920x1080 2640x1125 74250
[ 0.980000] new mode: 1440x576 1728x625 54000
[ 0.980000] new mode: 1920x1080 2640x1125 148500
[ 0.990000] mmc0: new high speed SDHC card at address b368
[ 1.000000] drivers/gpu/drm/analog/analog_drm_fbdev.c:analog_drm_fbdev_probe[241]
[ 1.120000] Registering SWP/SWPB emulation handler
[ 1.120000] mmcblk0: mmc0:b368 NCard 7.48 GiB
[ 1.120000] mmcblk0: p1
[ 1.130000] registered taskstats version 1
[ 1.130000] drivers/rtc/hctosys.c: unable to open rtc device (rtc0)
[ 1.140000] setting clock to: 148500
[ 1.140000] raw_edid: d8ae1380 7
[ 1.140000] Using YCbCr output
[ 1.170000] Console: switching to colour frame buffer device 240x67
[ 1.220000] fb0: frame buffer device
[ 1.220000] drm: registered panic notifier
[ 1.220000] [drm] Initialized analog_drm 1.0.0 20110530 on minor 0
[ 1.230000] RAMDISK: gzip image found at block 0
[ 1.500000] EXT4-fs (ram0): warning: mounting unchecked fs, running e2fsck is recommended
[ 1.510000] EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
[ 1.510000] VFS: Mounted root (ext4 filesystem) on device 1:0.
[ 1.520000] Freeing init memory: 152K
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
++ Configure static IP 192.168.3.130
[ 1.700000] GEM: lp->tx_bd ffdfa000 lp->tx_bd_dma 18136000 lp->tx_skb d8070280
[ 1.710000] GEM: lp->rx_bd ffdfb000 lp->rx_bd_dma 1811b000 lp->rx_skb d8070380
[ 1.720000] GEM: MAC 0x00350a00, 0x00002201, 00:0a:35:00:01:22
[ 1.720000] GEM: phydev d8b6b400, phydev->phy_id 0x1410dd1, phydev->addr 0x0
[ 1.730000] eth0, phy_addr 0x0, phy_id 0x01410dd1
[ 1.730000] eth0, attach [Marvell 88E1510] phy driver
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting dropbear (ssh) daemon
++ Starting OLED Display
[ 1.770000] pmodoled-gpio-spi [zed_oled] SPI Probing
++ Exporting LEDs & SWs
rcS Complete
zynq> [ 4.720000] eth0: link up (100/FULL)
/ # cat /proc/dri/0/*
a dev pid uid magic ioctls
name size handles refcount
ctx/flags use fin blk/rw/rwf wait flushed queued locks
slot offset size type flags address mtrr
vma use count: 0, high_memory = e0000000, 0x20000000
U-Boot 2012.04.01-00297-gc319bf9-dirty (Sep 13 2012 - 09:30:49)
DRAM: 512 MiB
WARNING: Caches not enabled
MMC: SDHCI: 0
Using default environment
In: serial
Out: serial
Err: serial
Net: zynq_gem
Hit any key to stop autoboot: 0
Copying Linux from SD to RAM...
Device: SDHCI
Manufacturer ID: 73
OEM: 4247
Name: NCard
Tran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 7.5 GiB
Bus Width: 1-bit
reading zImage
2449976 bytes read
reading devicetree_ramdisk.dtb
5817 bytes read
reading ramdisk8M.image.gz
3699284 bytes read
## Starting application at 0x00008000 ...
Uncompressing Linux... done, booting the kernel.
[ 0.000000] Booting Linux on physical CPU 0
[ 0.000000] Linux version 3.6.0-digilent-13.01 (masaaki@masaaki-VirtualBox) (gcc version 4.6.3 (Sourcery CodeBench Lite 2012.03-79) ) #1 SMP PREEMPT Sun Mar 3 06:20:07 JST 2013
[ 0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[ 0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[ 0.000000] Machine: Xilinx Zynq Platform, model: Xilinx Zynq ZED
[ 0.000000] bootconsole [earlycon0] enabled
[ 0.000000] Memory policy: ECC disabled, Data cache writealloc
[ 0.000000] BUG: mapping for 0xe0001000 at 0xfe001000 out of vmalloc space
[ 0.000000] PERCPU: Embedded 7 pages/cpu @c1408000 s6976 r8192 d13504 u32768
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 130048
[ 0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/ram rw initrd=0x800000,8M earlyprintk rootfstype=ext4 rootwait devtmpfs.mount=0
[ 0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes)
[ 0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes)
[ 0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes)
[ 0.000000] Memory: 512MB = 512MB total
[ 0.000000] Memory: 506768k/506768k available, 17520k reserved, 0K highmem
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] vector : 0xffff0000 - 0xffff1000 ( 4 kB)
[ 0.000000] fixmap : 0xfff00000 - 0xfffe0000 ( 896 kB)
[ 0.000000] vmalloc : 0xe0800000 - 0xfd000000 ( 456 MB)
[ 0.000000] lowmem : 0xc0000000 - 0xe0000000 ( 512 MB)
[ 0.000000] pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
[ 0.000000] modules : 0xbf000000 - 0xbfe00000 ( 14 MB)
[ 0.000000] .text : 0xc0008000 - 0xc0422984 (4203 kB)
[ 0.000000] .init : 0xc0423000 - 0xc0448b40 ( 151 kB)
[ 0.000000] .data : 0xc044a000 - 0xc04828e0 ( 227 kB)
[ 0.000000] .bss : 0xc0482904 - 0xc04990b0 ( 90 kB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Dump stacks of tasks blocking RCU-preempt GP.
[ 0.000000] RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[ 0.000000] NR_IRQS:512
[ 0.000000] Zynq clock init
[ 0.000000] xlnx,ps7-ttc-1.00.a #0 at 0xe0800000, irq=43
[ 0.000000] ------------[ cut here ]------------
[ 0.000000] WARNING: at arch/arm/kernel/smp_twd.c:389 time_init+0x20/0x30()
[ 0.000000] twd_local_timer_of_register failed (-19)
[ 0.000000] Modules linked in:
[ 0.000000] [] (unwind_backtrace+0x0/0xe0) from [ ] (warn_slowpath_common+0x4c/0x64)
[ 0.000000] [] (warn_slowpath_common+0x4c/0x64) from [ ] (warn_slowpath_fmt+0x2c/0x3c)
[ 0.000000] [] (warn_slowpath_fmt+0x2c/0x3c) from [ ] (time_init+0x20/0x30)
[ 0.000000] [] (time_init+0x20/0x30) from [ ] (start_kernel+0x1ac/0x2f0)
[ 0.000000] [] (start_kernel+0x1ac/0x2f0) from [<00008044>] (0x8044)
[ 0.000000] ---[ end trace 1b75b31a2719ed1c ]---
[ 0.000000] sched_clock: 32 bits at 100 Hz, resolution 10000000ns, wraps every 4294967286ms
[ 0.000000] Console: colour dummy device 80x30
[ 0.020000] Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096)
[ 0.110000] pid_max: default: 32768 minimum: 301
[ 0.110000] Mount-cache hash table entries: 512
[ 0.110000] CPU: Testing write buffer coherency: ok
[ 0.120000] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[ 0.120000] hw perfevents: enabled with ARMv7 Cortex-A9 PMU driver, 7 counters available
[ 0.120000] Setting up static identity map for 0x2ed300 - 0x2ed334
[ 0.130000] L310 cache controller enabled
[ 0.130000] l2x0: 8 ways, CACHE_ID 0x410000c8, AUX_CTRL 0x72360000, Cache size: 524288 B
[ 0.200000] Map SLCR registers
[ 0.200000] CPU1: Booted secondary processor
[ 0.290000] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[ 0.290000] Brought up 2 CPUs
[ 0.290000] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[ 0.300000] devtmpfs: initialized
[ 0.300000] NET: Registered protocol family 16
[ 0.310000] DMA: preallocated 256 KiB pool for atomic coherent allocations
[ 0.320000] xgpiops e000a000.gpio: gpio at 0xe000a000 mapped to 0xe084a000
[ 0.320000] registering platform device 'pl330' id 0
[ 0.330000] registering platform device 'arm-pmu' id 0
[ 0.330000] registering platform device 'zynq-dvfs' id 0
[ 0.340000]
[ 0.340000] ###############################################
[ 0.340000] # #
[ 0.350000] # Board ZED Init #
[ 0.350000] # #
[ 0.360000] ###############################################
[ 0.360000]
[ 0.370000] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[ 0.380000] hw-breakpoint: maximum watchpoint size is 4 bytes.
[ 0.400000] xslcr xslcr.0: at 0xF8000000 mapped to 0xF8000000
[ 0.420000] bio: create slabat 0
[ 0.420000] SCSI subsystem initialized
[ 0.420000] usbcore: registered new interface driver usbfs
[ 0.420000] usbcore: registered new interface driver hub
[ 0.430000] usbcore: registered new device driver usb
[ 0.440000] Advanced Linux Sound Architecture Driver Version 1.0.25.
[ 0.440000] Switching to clocksource xttcpss_timer1
[ 0.460000] Clockevents: could not switch to one-shot mode:
[ 0.460000] Clockevents: could not switch to one-shot mode: dummy_timer is not functional.
[ 0.460000] Could not switch to high resolution mode on CPU 1
[ 0.460000] dummy_timer is not functional.
[ 0.470000] Could not switch to high resolution mode on CPU 0
[ 0.490000] NET: Registered protocol family 2
[ 0.490000] TCP established hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.490000] TCP bind hash table entries: 16384 (order: 5, 131072 bytes)
[ 0.500000] TCP: Hash tables configured (established 16384 bind 16384)
[ 0.510000] TCP: reno registered
[ 0.510000] UDP hash table entries: 256 (order: 1, 8192 bytes)
[ 0.520000] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[ 0.520000] NET: Registered protocol family 1
[ 0.530000] Trying to unpack rootfs image as initramfs...
[ 0.530000] rootfs image is not initramfs (no cpio magic); looks like an initrd
[ 0.570000] Freeing initrd memory: 8192K
[ 0.570000] pl330 dev 0 probe success
[ 0.580000] msgmni has been set to 1005
[ 0.580000] io scheduler noop registered
[ 0.580000] io scheduler deadline registered
[ 0.590000] io scheduler cfq registered (default)
[ 0.590000] xuartps e0001000.uart: failed to get alias id, errno -19
[ 0.600000] e00rイconsole [ttyPS0] enabled, bootconsole disabled
[ 0.600000] console [ttyPS0] enabled, bootconsole disabled
[ 0.610000] xdevcfg f8007000.devcfg: ioremap f8007000 to e0852000 with size 1000
[ 0.620000] [drm] Initialized drm 1.1.0 20060810
[ 0.640000] brd: module loaded
[ 0.650000] loop: module loaded
[ 0.650000] xqspips e000d000.qspi: master is unqueued, this is deprecated
[ 0.660000] xqspips e000d000.qspi: at 0xE000D000 mapped to 0xE0854000, irq=51
[ 0.670000] libphy: XEMACPS mii bus: probed
[ 0.670000] xemacps e000b000.eth: Could not find MAC address in device tree, use default
[ 0.680000] xemacps e000b000.eth: pdev->id -1, baseaddr 0xe000b000, irq 54
[ 0.690000] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[ 0.690000] xusbps-ehci xusbps-ehci.0: Xilinx PS USB EHCI Host Controller
[ 0.700000] xusbps-ehci xusbps-ehci.0: new USB bus registered, assigned bus number 1
[ 0.740000] xusbps-ehci xusbps-ehci.0: irq 53, io mem 0x00000000
[ 0.760000] xusbps-ehci xusbps-ehci.0: USB 2.0 started, EHCI 1.00
[ 0.760000] hub 1-0:1.0: USB hub found
[ 0.770000] hub 1-0:1.0: 1 port detected
[ 0.770000] Initializing USB Mass Storage driver...
[ 0.770000] usbcore: registered new interface driver usb-storage
[ 0.780000] USB Mass Storage support registered.
[ 0.790000] mousedev: PS/2 mouse device common for all mice
[ 0.790000] xwdtps f8005000.swdt: Xilinx Watchdog Timer at 0xe085c000 with timeout 10s
[ 0.800000] sdhci: Secure Digital Host Controller Interface driver
[ 0.810000] sdhci: Copyright(c) Pierre Ossman
[ 0.810000] sdhci-pltfm: SDHCI platform and OF driver helper
[ 0.820000] mmc0: Invalid maximum block size, assuming 512 bytes
[ 0.860000] mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using ADMA
[ 0.870000] usbcore: registered new interface driver usbhid
[ 0.870000] usbhid: USB HID core driver
[ 0.890000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 0.890000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 0.900000] TCP: cubic registered
[ 0.910000] NET: Registered protocol family 17
[ 0.910000] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
[ 0.920000] Registering SWP/SWPB emulation handler
[ 0.920000] registered taskstats version 1
[ 0.930000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 0.940000] drivers/rtc/hctosys.c: unable to open rtc device (rtc0)
[ 0.940000] ALSA device list:
[ 0.950000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 0.950000] No soundcards found.
[ 0.960000] RAMDISK: gzip image found at block 0
[ 0.970000] mmc0: new high speed SDHC card at address b368
[ 0.970000] new mode: 1920x1080 2200x1125 148500
[ 0.980000] mmcblk0: mmc0:b368 NCard 7.48 GiB
[ 0.980000] mmcblk0: p1
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 1280x720 1650x750 74250
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 1680x1050 1840x1080 119000
[ 0.990000] new mode: 1280x1024 1728x1067 132840
[ 0.990000] new mode: 1280x1024 1728x1066 128946
[ 0.990000] new mode: 1280x1024 1688x1066 108000
[ 0.990000] new mode: 1280x960 1800x1000 108000
[ 0.990000] new mode: 1280x800 1440x823 71000
[ 0.990000] new mode: 800x600 1056x628 40000
[ 0.990000] new mode: 800x600 1024x625 36000
[ 0.990000] new mode: 640x480 840x500 31500
[ 0.990000] new mode: 640x480 832x520 31500
[ 0.990000] new mode: 640x480 864x525 30240
[ 0.990000] new mode: 640x480 800x525 25200
[ 0.990000] new mode: 720x400 900x449 28320
[ 0.990000] new mode: 1280x1024 1688x1066 135000
[ 0.990000] new mode: 1024x768 1312x800 78800
[ 0.990000] new mode: 1024x768 1328x806 75000
[ 0.990000] new mode: 1024x768 1344x806 65000
[ 0.990000] new mode: 832x624 1152x667 57284
[ 0.990000] new mode: 800x600 1056x625 49500
[ 0.990000] new mode: 800x600 1040x666 50000
[ 0.990000] new mode: 848x480 1088x517 33750
[ 0.990000] new mode: 1152x864 1600x900 108000
[ 0.990000] new mode: 1280x768 1440x790 68250
[ 0.990000] new mode: 1280x768 1696x805 102250
[ 0.990000] new mode: 1280x800 1696x838 106500
[ 0.990000] new mode: 1360x768 1792x795 85500
[ 0.990000] new mode: 1400x1050 1560x1080 101000
[ 0.990000] new mode: 1400x1050 1896x1099 156000
[ 0.990000] new mode: 1440x900 1600x926 88750
[ 0.990000] new mode: 1440x900 1936x942 136750
[ 0.990000] new mode: 1024x576 1312x597 46970
[ 0.990000] new mode: 1366x768 1800x795 85885
[ 0.990000] new mode: 1600x900 2128x932 118963
[ 0.990000] new mode: 1680x945 2240x978 131481
[ 0.990000] new mode: 640x480 800x525 25175
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 1280x720 1650x750 74250
[ 0.990000] new mode: 1920x1080 2200x1125 74250
[ 0.990000] new mode: 1920x1080 2200x1125 148500
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 1280x720 1980x750 74250
[ 0.990000] new mode: 1920x1080 2640x1125 74250
[ 0.990000] new mode: 1440x576 1728x625 54000
[ 0.990000] new mode: 1920x1080 2640x1125 148500
[ 0.990000] new mode: 1920x1080 2200x1125 148500
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 1280x720 1650x750 74250
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 800x600 1056x628 40000
[ 0.990000] new mode: 800x600 1024x625 36000
[ 0.990000] new mode: 640x480 840x500 31500
[ 0.990000] new mode: 640x480 832x520 31500
[ 0.990000] new mode: 640x480 864x525 30240
[ 0.990000] new mode: 640x480 800x525 25200
[ 0.990000] new mode: 720x400 900x449 28320
[ 0.990000] new mode: 1280x1024 1688x1066 135000
[ 0.990000] new mode: 1024x768 1312x800 78800
[ 0.990000] new mode: 1024x768 1328x806 75000
[ 0.990000] new mode: 1024x768 1344x806 65000
[ 0.990000] new mode: 832x624 1152x667 57284
[ 0.990000] new mode: 800x600 1056x625 49500
[ 0.990000] new mode: 800x600 1040x666 50000
[ 0.990000] new mode: 640x480 800x525 25175
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 720x480 858x525 27000
[ 0.990000] new mode: 1280x720 1650x750 74250
[ 0.990000] new mode: 1920x1080 2200x1125 74250
[ 0.990000] new mode: 1920x1080 2200x1125 148500
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 720x576 864x625 27000
[ 0.990000] new mode: 1280x720 1980x750 74250
[ 0.990000] new mode: 1920x1080 2640x1125 74250
[ 0.990000] new mode: 1440x576 1728x625 54000
[ 0.990000] new mode: 1920x1080 2640x1125 148500
[ 1.310000] EXT4-fs (ram0): warning: mounting unchecked fs, running e2fsck is recommended
[ 1.340000] EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
[ 1.350000] VFS: Mounted root (ext4 filesystem) on device 1:0.
[ 1.350000] adv7511-hdmi-snd adv7511_hdmi_snd.2: CODEC adv7511.2-0039 not registered
[ 1.350000] platform adv7511_hdmi_snd.2: Driver adv7511-hdmi-snd requests probe deferral
[ 1.350000] Freeing init memory: 148K
[ 1.350000] setting clock to: 148500
[ 1.350000] raw_edid: d8c08c80 7
[ 1.350000] Using YCbCr output
[ 1.400000] Console: switching to colour frame buffer device 240x67
[ 1.470000] fb0: frame buffer device
[ 1.470000] drm: registered panic notifier
[ 1.480000] [drm] Initialized analog_drm 1.0.0 20110530 on minor 0
++ Configure static IP 192.168.3.130
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting dropbear (ssh) daemon
++ Starting OLED Display
insmod: can't read '/lib/modules/3.6.0-digilent-13.01/pmodoled-gpio.ko': No such file or directory
++ Exporting LEDs & SWs
rcS Complete
zynq> [ 4.590000] xemacps e000b000.eth: Set clk to 24999999 Hz
[ 4.590000] xemacps e000b000.eth: link up (100/FULL)
Zynq-7000(ZC702)のLinuxチュートリアル3(リモートデバック)
ZedBoard Linux のフレームバッファにカメラ画像を表示9(SDKリモートデバック)
/opt/Xilinx/14.4/ISE_DS/EDK/gnu/arm-eabi/bin/../lib/gcc/arm-xilinx-eabi/4.6.3/../../../../arm-xilinx-eabi/include/sys/dirent.h:10:2: error: #error "
not supported"
../src/cam_disp3_linux.c:17:22: fatal error: sys/mman.h: No such file or directory
compilation terminated.
make: *** [src/cam_disp3_linux.o] エラー 1
/opt/Xilinx/14.4/ISE_DS/EDK/gnu/arm/bin/../lib/gcc/arm-xilinx-linux-gnueabi/4.6.3/../../../../arm-xilinx-linux-gnueabi/bin/ld: cannot find -l-Wl,--start-group,-lxil,-lgcc,-lc,--end-group
collect2: ld returned 1 exit status
make: *** [cam_disp3_linux.elf] エラー 1
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |