set_property pfm_name {marsee:Ultra96V2:ultra96v2_min2_201:1.0} [get_files -all {/home/masaaki/HDL/Ultra96/Vitis_platform/2020.1/ultra96v2_min2_201/ultra96v2_min2_201.srcs/sources_1/bd/ultra96v2_min2_201/ultra96v2_min2_201.bd}]
set_property platform.vendor {marsee} [current_project]
write_hw_platform -include_bit -force -file /home/masaaki/HDL/Ultra96/Vitis_platform/2020.1/ultra96v2_min2_201/ultra96v2_min2_201.xsa
なので、違いは無い。write_hw_platform -include_bit ultra96v2_min2_201.xsa
ERROR: [HLS 200-1471] Stop unrolling loop 'af1_dot1' (all_deploy_afnet4mnist2/mnist_nn.cpp:841) in function 'mnist_nn' because it may cause large runtime and excessive memory usage due to increase in code size. Please avoid unrolling the loop or form sub-functions for code in the loop body.\
をコメントアウトして、#pragma HLS PIPELINE II=1
を追加した。これで C コードの合成を行った。結果を示す。#pragma HLS DATAFLOW
と入力するそうです。-ac
を設定することにしました。-xkblayout jp
を入力しました。-ac -xkblayout jp
C/C++
Japanese Language Pack
C++ Intellisense
Python
svls-vscode
verilog HDL/SystemVerilog
VHDL
を入れるとUbuntu 18.04 のルートディレクトリが見えた。\\wsl$\Ubuntu-18.04
// multi_test.c
// 2015/07/24 : by marsee
// multi_in0 の入力の時に999を入力すると終了する
// 2020/06/21 : 修正、Vivado HLSからVitis HLSに変更したため、in0_V や in1_V の _V を削除した
//
#include <stdio.h>
#include "xmulti_apuint.h"
#include "xparameters.h"
int main(){
XMulti_apuint XMluti_ap;
XMulti_apuint_Config *XMulti_apPtr;
int val;
// Look Up the device configuration
XMulti_apPtr = XMulti_apuint_LookupConfig(0);
if (!XMulti_apPtr){
fprintf(stderr, "XMulti_apuint configuration failed.\n");
return(-1);
}
// Initialize the Device
int Xlap_status = XMulti_apuint_CfgInitialize(&XMluti_ap, XMulti_apPtr);
if (Xlap_status != XST_SUCCESS){
fprintf(stderr, "Could not Initialize XMulti_apuint\n");
return(-1);
}
while(1){
printf("\n\rmulti_in0 = ");
scanf("%d", &val);
if(val == 999)
break;
XMulti_apuint_Set_multi_in0(&XMluti_ap, val);
printf("\n\rmulti_in1 = ");
scanf("%d", &val);
XMulti_apuint_Set_multi_in1(&XMluti_ap, val);
while(!XMulti_apuint_IsIdle(&XMluti_ap)) ;
XMulti_apuint_Start(&XMluti_ap);
while(!XMulti_apuint_IsDone(&XMluti_ap)) ;
printf("\n\rmulti_out = %d\n\r", (int)XMulti_apuint_Get_multi_out(&XMluti_ap));
}
return(0);
}
"Compiling multi_apuint"
arm-none-eabi-ar: *.o: Invalid argument
make[1]: *** [Makefile:24: libs] Error 1
make: *** [Makefile:30: ps7_cortexa9_0/libsrc/multi_apuint_v1_0/src/make.libs] Error 2
Failed to build the bsp sources for domain - standalone_domain
Failed to generate the platform.
Reason: Failed to build the zynq_fsbl application.
invoked from within
"::tcf::eval -progress {apply {{msg} {puts $msg}}} {tcf_send_command tcfchan#0 xsdb eval s es {{platform active multi_hls201_platform; platform generate }}}"
(procedure "::tcf::send_command" line 4)
invoked from within
"tcf send_command $::xsdb::curchan xsdb eval s es [list "platform active $PLATFORM_NAME; platform generate $target"]"
invoked from within
"if { $iswindows == 1 } {
set XSDB_PORT [lindex $argv 0]
set PLATFORM_NAME [lindex $argv 1]
set arglen [llength $argv]
set lastind..."
(file "C:/Xilinx/Vitis/2020.1\scripts\vitis\util\buildplatform.tcl" line 11)
08:10:02 Build Finished (took 26s.246ms)
#include <ap_int.h>
void multi_apuint(ap_uint<16> multi_in0,
ap_uint<16> multi_in1, ap_uint<32> *multi_out){
#pragma HLS INTERFACE s_axilite port=multi_out
#pragma HLS INTERFACE s_axilite port=multi_in1
#pragma HLS INTERFACE s_axilite port=multi_in0
#pragma HLS INTERFACE s_axilite port=return
*multi_out = multi_in0 * multi_in1;
}
#include <string.h>
#include <ap_int.h>
void multi_apuint(ap_uint<16> multi_in0, ap_uint<16> multi_in1,
ap_uint<32> *multi_out);
int main(){
using namespace std;
ap_uint<16> multi_in0;
ap_uint<16> multi_in1;
ap_uint<32> multi_out;
for (multi_in0=0, multi_in1=1; multi_in0<10; multi_in0++, multi_in1++){
multi_apuint(multi_in0, multi_in1, &multi_out);
cout << "multi_out = " << multi_out << endl;
if (multi_out != (multi_in0 * multi_in1))
return(1);
}
return(0);
}
NOTICE: ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE: BL31: v2.2(release):v1.1-5588-g5918e656e
NOTICE: BL31: Built : 13:15:27, Jun 11 2020
U-Boot 2020.01 (Jun 11 2020 - 13:14:21 +0000)
Board: Xilinx ZynqMP
DRAM: 2 GiB
usb dr_mode not found
usb dr_mode not found
PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND: 0 MiB
MMC: mmc@ff160000: 0, mmc@ff170000: 1
In: serial@ff010000
Out: serial@ff010000
Err: serial@ff010000
Bootmode: SD_MODE
Reset reason: EXTERNAL
Net: No ethernet found.
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc0 is current device
Scanning mmc 0:1...
## Executing script at 20000000
Wrong image format for "source" command
SCRIPT FAILED: continuing...
switch to partitions #0, OK
mmc0 is current device
Scanning mmc 0:1...
Card did not respond to voltage select!
Warning: SPI speed fallback to 100 kHz
unrecognized JEDEC id bytes: ff, ff, ff
Failed to initialize SPI flash at 0:0 (error -2)
SCRIPT FAILED: continuing...
no devices available
SCRIPT FAILED: continuing...
starting USB...
No working controllers found
USB is stopped. Please issue 'usb start' first.
starting USB...
No working controllers found
USB is stopped. Please issue 'usb start' first.
scanning bus for devices...
Device 0: unknown device
starting USB...
No working controllers found
No ethernet found.
missing environment variable: pxeuuid
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/00000000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/0000000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/000000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/00000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/0000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/000
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/00
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/0
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm-zynqmp-zynqmp
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm-zynqmp
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default-arm
No ethernet found.
missing environment variable: bootfile
Retrieving file: pxelinux.cfg/default
No ethernet found.
Config file not found
starting USB...
No working controllers found
No ethernet found.
No ethernet found.
ZynqMP>
PS C:\WINDOWS\system32> dism.exe /online /enable-feature /featurename:VirtualMachinePlatform /all /norestart
展開イメージのサービスと管理ツール
バージョン: 10.0.19041.329
イメージのバージョン: 10.0.19041.329
機能を有効にしています
[==========================100.0%==========================]
操作は正常に完了しました。
PS C:\WINDOWS\system32> wsl --set-version Ubuntu-18.04 2
変換中です。この処理には数分かかることがあります...
WSL 2 との主な違いについては、https://aka.ms/wsl2 を参照してください
変換が完了しました。
PS C:\WINDOWS\system32> wsl -l -v
NAME STATE VERSION
* Ubuntu-18.04 Stopped 2
を設定したが、また NO DISPLAY と言われた。export DISPLAY=$(cat /etc/resolv.conf | grep nameserver | awk '{print $2}'):0
if [ -z "$DISPLAY" ]; then
if wsl.exe -l -v 2> /dev/null | sed 's/[^[:print:]]//g' | grep " $WSL_DISTRO_NAME " | grep -q '2$'; then
# for WSL2
export DISPLAY=$(grep -m 1 nameserver /etc/resolv.conf | awk '{print $2}'):0
else
# for WSL1
export DISPLAY=:0
fi
fi
20:43:01 **** Build of configuration Hardware for project vadd ****
make -j4 incremental
/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/v++ --target hw --compile -I"../src" --config common-config.cfg --config binary_container_1-krnl_vadd-compile.cfg -o"binary_container_1.build/krnl_vadd.xo" "../src/krnl_vadd.cpp"
Option Map File Used: '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/data/vitis/vpp/optMap.xml'
****** v++ v2020.1 (64-bit)
**** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020
** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
INFO: [v++ 60-1306] Additional information associated with this v++ compile can be found at:
Reports: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/krnl_vadd
Log files: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/logs/krnl_vadd
INFO: [v++ 60-1657] Initializing dispatch client.
Running Dispatch Server on port:38839
INFO: [v++ 60-1548] Creating build summary session with primary output /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/krnl_vadd.xo.compile_summary, at Mon Jun 15 20:43:13 2020
INFO: [v++ 60-1316] Initiating connection to rulecheck server, at Mon Jun 15 20:43:13 2020
INFO: [v++ 60-895] Target platform: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/ultra96v2_min2_201.xpfm
INFO: [v++ 60-1578] This platform contains Xilinx Shell Archive '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/hw/ultra96v2_min2_201.xsa'
INFO: [v++ 60-585] Compiling for hardware target
INFO: [v++ 60-423] Target device: ultra96v2_min2_201
INFO: [v++ 60-242] Creating kernel: 'krnl_vadd'
===>The following messages were generated while performing high-level synthesis for kernel: krnl_vadd Log file: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/krnl_vadd/krnl_vadd/vitis_hls.log :
INFO: [v++ 204-61] Pipelining loop 'read1'.
INFO: [v++ 200-1470] Pipelining result : Target II = 1, Final II = 1, Depth = 3.
INFO: [v++ 204-61] Pipelining loop 'vadd_writeC'.
INFO: [v++ 200-1470] Pipelining result : Target II = 1, Final II = 1, Depth = 4.
INFO: [v++ 200-790] **** Loop Constraint Status: All loop constraints were satisfied.
INFO: [v++ 200-789] **** Estimated Fmax: 273.97 MHz
INFO: [v++ 60-594] Finished kernel compilation
INFO: [v++ 60-244] Generating system estimate report...
INFO: [v++ 60-1092] Generated system estimate report: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/krnl_vadd/system_estimate_krnl_vadd.xtxt
INFO: [v++ 60-586] Created binary_container_1.build/krnl_vadd.xo
INFO: [v++ 60-2343] Use the vitis_analyzer tool to visualize and navigate the relevant reports. Run the following command.
vitis_analyzer /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/krnl_vadd.xo.compile_summary
INFO: [v++ 60-791] Total elapsed time: 0h 0m 37s
INFO: [v++ 60-1653] Closing dispatch client.
/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/v++ --target hw --link --config common-config.cfg --config binary_container_1-link.cfg -o"binary_container_1.xclbin" binary_container_1.build/krnl_vadd.xo
Option Map File Used: '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/data/vitis/vpp/optMap.xml'
****** v++ v2020.1 (64-bit)
**** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020
** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
INFO: [v++ 60-1306] Additional information associated with this v++ link can be found at:
Reports: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/link
Log files: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/logs/link
INFO: [v++ 60-1657] Initializing dispatch client.
Running Dispatch Server on port:37129
INFO: [v++ 60-1548] Creating build summary session with primary output /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin.link_summary, at Mon Jun 15 20:43:52 2020
INFO: [v++ 60-1316] Initiating connection to rulecheck server, at Mon Jun 15 20:43:52 2020
INFO: [v++ 60-895] Target platform: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/ultra96v2_min2_201.xpfm
INFO: [v++ 60-1578] This platform contains Xilinx Shell Archive '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/hw/ultra96v2_min2_201.xsa'
INFO: [v++ 60-629] Linking for hardware target
INFO: [v++ 60-423] Target device: ultra96v2_min2_201
INFO: [v++ 60-1332] Run 'run_link' status: Not started
INFO: [v++ 60-1443] [20:43:52] Run run_link: Step system_link: Started
INFO: [v++ 60-1453] Command Line: system_link --xo /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/krnl_vadd.xo -keep --config /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/syslinkConfig.ini --xpfm /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/ultra96v2_min2_201.xpfm --target hw --output_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int --temp_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [SYSTEM_LINK 60-1316] Initiating connection to rulecheck server, at Mon Jun 15 20:43:54 2020
INFO: [SYSTEM_LINK 82-70] Extracting xo v3 file /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/krnl_vadd.xo
INFO: [SYSTEM_LINK 82-53] Creating IP database /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.cdb/xd_ip_db.xml
INFO: [SYSTEM_LINK 82-38] [20:43:54] build_xd_ip_db started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/build_xd_ip_db -ip_search 0 -sds-pf /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/ultra96v2_min2_201.hpfm -clkid 0 -ip /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/iprepo/xilinx_com_hls_krnl_vadd_1_0,krnl_vadd -o /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.cdb/xd_ip_db.xml
INFO: [SYSTEM_LINK 82-37] [20:43:58] build_xd_ip_db finished successfully
Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 1369.680 ; gain = 263.816 ; free physical = 3067 ; free virtual = 34730
INFO: [SYSTEM_LINK 82-51] Create system connectivity graph
INFO: [SYSTEM_LINK 82-102] Applying explicit connections to the system connectivity graph: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/cfgraph/cfgen_cfgraph.xml
INFO: [SYSTEM_LINK 82-38] [20:43:58] cfgen started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/cfgen -nk krnl_vadd:1:krnl_vadd_1 -dmclkid 0 -r /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.cdb/xd_ip_db.xml -o /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/cfgraph/cfgen_cfgraph.xml
INFO: [CFGEN 83-0] Kernel Specs:
INFO: [CFGEN 83-0] kernel: krnl_vadd, num: 1 {krnl_vadd_1}
INFO: [CFGEN 83-2226] Inferring mapping for argument krnl_vadd_1.in1 to HP
INFO: [CFGEN 83-2226] Inferring mapping for argument krnl_vadd_1.in2 to HP
INFO: [CFGEN 83-2226] Inferring mapping for argument krnl_vadd_1.out_r to HP
INFO: [SYSTEM_LINK 82-37] [20:43:58] cfgen finished successfully
Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.25 . Memory (MB): peak = 1369.680 ; gain = 0.000 ; free physical = 3065 ; free virtual = 34729
INFO: [SYSTEM_LINK 82-52] Create top-level block diagram
INFO: [SYSTEM_LINK 82-38] [20:43:58] cf2bd started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/cf2bd --linux --trace_buffer 1024 --input_file /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/cfgraph/cfgen_cfgraph.xml --ip_db /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.cdb/xd_ip_db.xml --cf_name dr --working_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.xsd --temp_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link --output_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int
INFO: [CF2BD 82-31] Launching cf2xd: cf2xd -linux -trace-buffer 1024 -i /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/cfgraph/cfgen_cfgraph.xml -r /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.cdb/xd_ip_db.xml -o dr.xml
INFO: [CF2BD 82-28] cf2xd finished successfully
INFO: [CF2BD 82-31] Launching cf_xsd: cf_xsd -disable-address-gen -dn dr -dp /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/sys_link/_sysl/.xsd
INFO: [CF2BD 82-28] cf_xsd finished successfully
INFO: [SYSTEM_LINK 82-37] [20:44:00] cf2bd finished successfully
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1369.680 ; gain = 0.000 ; free physical = 3054 ; free virtual = 34721
INFO: [v++ 60-1441] [20:44:00] Run run_link: Step system_link: Completed
Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3079 ; free virtual = 34747
INFO: [v++ 60-1443] [20:44:00] Run run_link: Step cf2sw: Started
INFO: [v++ 60-1453] Command Line: cf2sw -sdsl /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/sdsl.dat -rtd /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/cf2sw.rtd -xclbin /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/xclbin_orig.xml -o /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/xclbin_orig.1.xml
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [v++ 60-1441] [20:44:00] Run run_link: Step cf2sw: Completed
Time (s): cpu = 00:00:00.49 ; elapsed = 00:00:00.54 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3081 ; free virtual = 34749
INFO: [v++ 60-1443] [20:44:00] Run run_link: Step rtd2_system_diagram: Started
INFO: [v++ 60-1453] Command Line: rtd2SystemDiagram
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [v++ 60-1441] [20:44:02] Run run_link: Step rtd2_system_diagram: Completed
Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 2847 ; free virtual = 34515
INFO: [v++ 60-1443] [20:44:02] Run run_link: Step vpl: Started
INFO: [v++ 60-1453] Command Line: vpl -t hw -f /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/ultra96v2_min2_201.xpfm --remote_ip_cache /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ip_cache -s --output_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int --log_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/logs/link --report_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/link --config /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/vplConfig.ini -k /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/kernel_info.dat --webtalk_flag Vitis --temp_dir /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link --no-info --iprepo /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/xo/ip_repo/xilinx_com_hls_krnl_vadd_1_0 --messageDb /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link/vpl.pb /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/dr.bd.tcl
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
****** vpl v2020.1 (64-bit)
**** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020
** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
INFO: [VPL 60-839] Read in kernel information from file '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/kernel_info.dat'.
INFO: [VPL 60-423] Target device: ultra96v2_min2_201
INFO: [VPL 60-1032] Extracting hardware platform to /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/vivado/vpl/.local/hw_platform
[20:44:14] Run vpl: Step create_project: Started
Creating Vivado project.
[20:44:23] Run vpl: Step create_project: Completed
[20:44:23] Run vpl: Step create_bd: Started
[20:44:32] Run vpl: Step create_bd: Completed
[20:44:32] Run vpl: Step update_bd: Started
[20:44:32] Run vpl: Step update_bd: Completed
[20:44:32] Run vpl: Step generate_target: Started
[20:45:04] Run vpl: Step generate_target: Completed
[20:45:04] Run vpl: Step config_hw_runs: Started
[20:45:05] Run vpl: Step config_hw_runs: Completed
[20:45:05] Run vpl: Step synth: Started
[20:45:36] Block-level synthesis in progress, 0 of 1 jobs complete, 1 job running.
[20:46:06] Block-level synthesis in progress, 0 of 1 jobs complete, 1 job running.
[20:46:36] Block-level synthesis in progress, 0 of 1 jobs complete, 1 job running.
[20:47:03] Run vpl: Step synth: Completed
[20:47:03] Run vpl: Step impl: Started
[20:49:05] Finished 3rd of 6 tasks (FPGA logic optimization). Elapsed time: 00h 05m 01s
[20:49:05] Starting logic placement..
[20:49:05] Phase 1 Placer Initialization
[20:49:05] Phase 1.1 Placer Initialization Netlist Sorting
[20:49:05] Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
[20:49:05] Finished 2nd of 6 tasks (FPGA linking synthesized kernels to platform). Elapsed time: 00h 00m 00s
[20:49:05] Starting logic optimization..
[20:49:05] Phase 1 Retarget
[20:49:05] Phase 2 Constant propagation
[20:49:05] Phase 3 Sweep
[20:49:05] Phase 4 BUFG optimization
[20:49:05] Phase 5 Shift Register Optimization
[20:49:05] Phase 6 Post Processing Netlist
[20:49:35] Phase 1.3 Build Placer Netlist Model
[20:49:35] Phase 1.4 Constrain Clocks/Macros
[20:49:35] Phase 2 Global Placement
[20:49:35] Phase 2.1 Floorplanning
[20:49:35] Phase 2.1.1 Partition Driven Placement
[20:49:35] Phase 2.1.1.1 PBP: Partition Driven Placement
[20:49:35] Phase 2.1.1.2 PBP: Clock Region Placement
[20:49:35] Phase 2.1.1.3 PBP: Compute Congestion
[20:49:35] Phase 2.1.1.4 PBP: UpdateTiming
[20:49:35] Phase 2.1.1.5 PBP: Add part constraints
[20:49:35] Phase 2.2 Global Placement Core
[20:49:35] Phase 2.2.1 Physical Synthesis In Placer
[20:49:35] Phase 3 Detail Placement
[20:49:35] Phase 3.1 Commit Multi Column Macros
[20:49:35] Phase 3.2 Commit Most Macros & LUTRAMs
[20:50:05] Phase 3.3 Area Swap Optimization
[20:50:05] Phase 3.4 Pipeline Register Optimization
[20:50:05] Phase 3.5 Small Shape DP
[20:50:05] Phase 3.5.1 Small Shape Clustering
[20:50:05] Phase 3.5.2 Flow Legalize Slice Clusters
[20:50:05] Phase 3.5.3 Slice Area Swap
[20:50:05] Phase 3.6 Re-assign LUT pins
[20:50:05] Phase 3.7 Pipeline Register Optimization
[20:50:05] Phase 4 Post Placement Optimization and Clean-Up
[20:50:05] Phase 4.1 Post Commit Optimization
[20:50:05] Phase 4.1.1 Post Placement Optimization
[20:50:05] Phase 4.1.1.1 BUFG Insertion
[20:50:05] Phase 1 Physical Synthesis Initialization
[20:50:05] Phase 4.2 Post Placement Cleanup
[20:50:05] Phase 4.3 Placer Reporting
[20:50:05] Phase 4.4 Final Placement Cleanup
[20:50:05] Finished 4th of 6 tasks (FPGA logic placement). Elapsed time: 00h 01m 00s
[20:50:05] Starting logic routing..
[20:50:05] Phase 1 Build RT Design
[20:50:05] Phase 2 Router Initialization
[20:50:05] Phase 2.1 Create Timer
[20:50:05] Phase 2.2 Fix Topology Constraints
[20:50:05] Phase 2.3 Pre Route Cleanup
[20:50:05] Phase 2.4 Global Clock Net Routing
[20:50:05] Phase 2.5 Update Timing
[20:50:05] Phase 3 Initial Routing
[20:50:05] Phase 4 Rip-up And Reroute
[20:50:05] Phase 4.1 Global Iteration 0
[20:50:32] Run vpl: Step impl: Completed
[20:50:32] Creating bitmap...
[20:50:32] Writing bitstream ./ultra96v2_min2_201_wrapper.bit...
[20:50:32] Finished 6th of 6 tasks (FPGA bitstream generation). Elapsed time: 00h 00m 26s
[20:50:32] Phase 4.2 Additional Iteration for Hold
[20:50:32] Phase 5 Delay and Skew Optimization
[20:50:32] Phase 5.1 Delay CleanUp
[20:50:32] Phase 5.2 Clock Skew Optimization
[20:50:32] Phase 6 Post Hold Fix
[20:50:32] Phase 6.1 Hold Fix Iter
[20:50:32] Phase 6.1.1 Update Timing
[20:50:32] Phase 7 Route finalize
[20:50:32] Phase 8 Verifying routed nets
[20:50:32] Phase 9 Depositing Routes
[20:50:32] Phase 10 Post Router Timing
[20:50:32] Finished 5th of 6 tasks (FPGA routing). Elapsed time: 00h 00m 00s
[20:50:32] Starting bitstream generation..
[20:50:32] Run vpl: FINISHED. Run Status: impl Complete!
INFO: [v++ 60-1441] [20:50:32] Run run_link: Step vpl: Completed
Time (s): cpu = 00:00:06 ; elapsed = 00:06:30 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3090 ; free virtual = 34017
INFO: [v++ 60-1443] [20:50:32] Run run_link: Step rtdgen: Started
INFO: [v++ 60-1453] Command Line: rtdgen
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [v++ 60-1453] Command Line: cf2sw -a /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/address_map.xml -sdsl /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/sdsl.dat -xclbin /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/xclbin_orig.xml -rtd /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.rtd -o /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.xml
INFO: [v++ 60-1652] Cf2sw returned exit code: 0
INFO: [v++ 60-2311] HPISystemDiagram::writeSystemDiagramAfterRunningVivado, rtdInputFilePath: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.rtd
INFO: [v++ 60-2312] HPISystemDiagram::writeSystemDiagramAfterRunningVivado, systemDiagramOutputFilePath: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/systemDiagramModelSlrBaseAddress.json
INFO: [v++ 60-1618] Launching
INFO: [v++ 60-1441] [20:50:33] Run run_link: Step rtdgen: Completed
Time (s): cpu = 00:00:00.50 ; elapsed = 00:00:00.62 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3095 ; free virtual = 34023
INFO: [v++ 60-1443] [20:50:33] Run run_link: Step xclbinutil: Started
INFO: [v++ 60-1453] Command Line: xclbinutil --add-section BITSTREAM:RAW:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/system.bit --force --target hw --key-value SYS:dfx_enable:false --add-section :JSON:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.rtd --add-section CLOCK_FREQ_TOPOLOGY:JSON:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1_xml.rtd --add-section BUILD_METADATA:JSON:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1_build.rtd --add-section EMBEDDED_METADATA:RAW:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.xml --add-section SYSTEM_METADATA:RAW:/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/systemDiagramModelSlrBaseAddress.json --key-value SYS:PlatformVBNV:vendor_Ultra96V2_ultra96v2_min2_201_1_0 --output /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
XRT Build Version: 2.6.655 (2020.1)
Build Date: 2020-05-22 12:05:03
Hash ID: 2d6bfe4ce91051d4e5b499d38fc493586dd4859a
Creating a default 'in-memory' xclbin image.
Section: 'BITSTREAM'(0) was successfully added.
Size : 5568798 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/system.bit'
Section: 'MEM_TOPOLOGY'(6) was successfully added.
Format : JSON
File : 'mem_topology'
Section: 'IP_LAYOUT'(8) was successfully added.
Format : JSON
File : 'ip_layout'
Section: 'CONNECTIVITY'(7) was successfully added.
Format : JSON
File : 'connectivity'
WARNING: Skipping CLOCK_FREQ_TOPOLOGY section for count size is zero.
WARNING: Section 'CLOCK_FREQ_TOPOLOGY' content is empty. No data in the given JSON file.
Section: 'CLOCK_FREQ_TOPOLOGY'(11) was empty. No action taken.
Format : JSON
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1_xml.rtd'
Section: 'BUILD_METADATA'(14) was successfully added.
Size : 2060 bytes
Format : JSON
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1_build.rtd'
Section: 'EMBEDDED_METADATA'(2) was successfully added.
Size : 2716 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/binary_container_1.xml'
Section: 'SYSTEM_METADATA'(22) was successfully added.
Size : 7627 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/int/systemDiagramModelSlrBaseAddress.json'
Successfully wrote (5586937 bytes) to the output file: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin
Leaving xclbinutil.
INFO: [v++ 60-1441] [20:50:33] Run run_link: Step xclbinutil: Completed
Time (s): cpu = 00:00:00.10 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3089 ; free virtual = 34024
INFO: [v++ 60-1443] [20:50:33] Run run_link: Step xclbinutilinfo: Started
INFO: [v++ 60-1453] Command Line: xclbinutil --quiet --force --info /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin.info --input /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [v++ 60-1441] [20:50:33] Run run_link: Step xclbinutilinfo: Completed
Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.18 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3089 ; free virtual = 34024
INFO: [v++ 60-1443] [20:50:33] Run run_link: Step generate_sc_driver: Started
INFO: [v++ 60-1453] Command Line:
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/link/run_link
INFO: [v++ 60-1441] [20:50:33] Run run_link: Step generate_sc_driver: Completed
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1340.320 ; gain = 0.000 ; free physical = 3089 ; free virtual = 34024
INFO: [v++ 60-244] Generating system estimate report...
INFO: [v++ 60-1092] Generated system estimate report: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/link/system_estimate_binary_container_1.xtxt
INFO: [v++ 60-2397] Platform default or user specified output type sd_card detected but is not a supported output for v++ --link. Use the v++ --package option instead to create SD card output.
INFO: [v++ 60-586] Created binary_container_1.xclbin
INFO: [v++ 60-1307] Run completed. Additional information can be found in:
Timing Report: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/reports/link/imp/ultra96v2_min2_201_wrapper_timing_summary_routed.rpt
Vivado Log: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/logs/link/vivado.log
Steps Log File: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.build/logs/link/link.steps.log
INFO: [v++ 60-2343] Use the vitis_analyzer tool to visualize and navigate the relevant reports. Run the following command.
vitis_analyzer /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/binary_container_1.xclbin.link_summary
INFO: [v++ 60-791] Total elapsed time: 0h 6m 50s
INFO: [v++ 60-1653] Closing dispatch client.
aarch64-linux-gnu-g++ -std=c++0x -DVITIS_PLATFORM=ultra96v2_min2_201 -D__USE_XOPEN2K8 -I/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vivado/2020.1/include/ -I/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/sw/ultra96v2_min2_201/linux_domain/sysroot/aarch64-xilinx-linux/usr/include/xrt/ -O2 -g -Wall -c -fmessage-length=0 --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/sw/ultra96v2_min2_201/linux_domain/sysroot/aarch64-xilinx-linux -o "src/vadd.o" "../src/vadd.cpp"
aarch64-linux-gnu-g++ -o "vadd" src/vadd.o -lxilinxopencl -lpthread -lrt -ldl -lcrypt -lstdc++ -L/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/sw/ultra96v2_min2_201/linux_domain/sysroot/aarch64-xilinx-linux/usr/lib/ --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/sw/ultra96v2_min2_201/linux_domain/sysroot/aarch64-xilinx-linux
/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/bin/v++ --package --config package.cfg binary_container_1.xclbin
Option Map File Used: '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2020.1/data/vitis/vpp/optMap.xml'
****** v++ v2020.1 (64-bit)
**** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020
** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
INFO: [v++ 60-1306] Additional information associated with this v++ package can be found at:
Reports: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/package.build/reports/package
Log files: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/package.build/logs/package
INFO: [v++ 60-1657] Initializing dispatch client.
Running Dispatch Server on port:41407
INFO: [v++ 60-1548] Creating build summary session with primary output ./v++.package_summary, at Mon Jun 15 20:50:47 2020
INFO: [v++ 60-1316] Initiating connection to rulecheck server, at Mon Jun 15 20:50:47 2020
INFO: [v++ 60-895] Target platform: /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/ultra96v2_min2_201.xpfm
INFO: [v++ 60-1578] This platform contains Xilinx Shell Archive '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/ultra96v2_min2_201/export/ultra96v2_min2_201/hw/ultra96v2_min2_201.xsa'
INFO: [v++ 60-2256] Packaging for hardware
Section: 'BITSTREAM'(0) was successfully written.
Format: RAW
File : '/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/package.build/package/system.bit'
INFO: [v++ 82-1025] Generating bootimage
****** Xilinx Bootgen v2020.1
**** Build date : May 27 2020-20:33:36
** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
[INFO] : Bootimage generated successfully
INFO: [v++ 82-1076] Deleting existing - /media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2020.1/ultra96v2_min2_201/images/linux/pkg/pfm/wksp1/vadd/Hardware/package/sd_card/image.ub
INFO: [v++ 82-1011] Creating sd_card directory
FATSIZE:1124
fat_start:63
fat_end:2096639
fat_sector:2096577
ext4_start:0
ext4_sector:966900
EXT4SIZE:2048
TOTALSIZE:3172
dummy_ext4_sector:3227404
sd_card_fat_start:2048
sd_card_ext4_start:2195456
dummy_ext4_start:3162356
2096577+0 レコード入力
2096577+0 レコード出力
1073447424 bytes (1.1 GB, 1.0 GiB) copied, 4.81374 s, 223 MB/s
966900+0 レコード入力
966900+0 レコード出力
495052800 bytes (495 MB, 472 MiB) copied, 2.21336 s, 224 MB/s
3227404+0 レコード入力
3227404+0 レコード出力
1652430848 bytes (1.7 GB, 1.5 GiB) copied, 7.3843 s, 224 MB/s
INFO: [v++ 60-2343] Use the vitis_analyzer tool to visualize and navigate the relevant reports. Run the following command.
vitis_analyzer ./v++.package_summary
INFO: [v++ 60-791] Total elapsed time: 0h 0m 29s
INFO: [v++ 60-1653] Closing dispatch client.
20:51:06 Build Finished (took 8m:5s.903ms)
CONFIG_xrt
CONFIG_xrt-dev
CONFIG_zocl
CONFIG_opencl-clhpp-dev
CONFIG_opencl-headers-dev
CONFIG_packagegroup-petalinux-opencv
#Note: Mention Each package in individual line
#These packages will get added into rootfs menu entry
CONFIG_gpio-demo
CONFIG_peekpoke
CONFIG_xrt
CONFIG_xrt-dev
CONFIG_zocl
CONFIG_opencl-clhpp-dev
CONFIG_opencl-headers-dev
CONFIG_packagegroup-petalinux-opencv
/include/ "system-conf.dtsi"
/ {
xlnk {
compatible = "xlnx,xlnk-1.0";
};
wlcore: wilc_sdio@0 {
compatible = "microchip,wilc1000", "microchip,wilc3000";
status = "okay";
};
};
&amba {
zyxclmm_drm {
compatible = "xlnx,zocl";
status = "okay";
reg = <0x0 0xA0000000 0x0 0x10000>;
};
};
&sdhci0 {
disable-wp;
};
/* linux */
the_ROM_image:
{
[pmufw_image] <pmufw.elf>
[bootloader, destination_cpu=a53-0] <fsbl.elf>
[destination_device=pl] <bitstream>
[destination_cpu=a53-0, exception_level=el-3, trustzone] <bl31.elf>
[destination_cpu=a53-0, exception_level=el-2] <u-boot.elf>
}
set_property platform.design_intent.embedded true [current_project]
set_property platform.design_intent.server_managed false [current_project]
set_property platform.design_intent.external_host false [current_project]
set_property platform.design_intent.datacenter false [current_project]
set_property platform.default_output_type "sd_card" [current_project]
import argparse
from pynq import Overlay
import numpy as np
from pynq import allocate
import time
from finn.util.data_packing import (
finnpy_to_packed_bytearray,
packed_bytearray_to_finnpy
)
from finn.core.datatype import DataType
class FINNAccelDriver():
def __init__(self, N, bitfile):
"""Instantiate the FINN accelerator driver.
Gets batchsize (N) as integer and path to bitfile as string."""
self.N = N
# input FINN DataType
self.idt = DataType.BINARY
# output FINN DataType
self.odt = DataType.UINT32
# input and output shapes
self.ishape_normal = (N, 784)
self.oshape_normal = (N, 10)
self.ishape_folded = (N, 16, 49)
self.oshape_folded = (N, 1, 10)
self.ishape_packed = (N, 16, 7) # datatype np.uint8
self.oshape_packed = (N, 1, 40) # datatype np.uint8
# load bitfile and set up accelerator
self.ol = Overlay(bitfile)
self.dma = self.ol.axi_dma_0
self.ctrl_regs = self.ol.resize_accel_0
# neuron folding factor of output = iterations per sample
self.itersPerSample = self.oshape_packed[-2]
# AXI lite register offset for number of iterations
# used by TLastMarker to signal end of transmission for AXI CDMA
self.REG_OFFSET_NUM_ITERS = 0x10
# set up TLastMarker with correct num. samples
self.ctrl_regs.write(self.REG_OFFSET_NUM_ITERS, self.N*self.itersPerSample)
# allocate a PYNQ buffer for the packed input and buffer
self.ibuf_packed_device = allocate(shape=self.ishape_packed, dtype=np.uint8)
self.obuf_packed_device = allocate(shape=self.oshape_packed, dtype=np.uint8)
def fold_input(self, ibuf_normal):
"""Reshapes input in desired shape.
Gets input data (ibuf_normal), checks if data is in expected normal shape.
Returns folded input."""
# ensure that shape is as expected
assert ibuf_normal.shape == self.ishape_normal
# convert to folded form
ibuf_folded = ibuf_normal.reshape(self.ishape_folded)
return ibuf_folded
def pack_input(self, ibuf_folded):
"""Packs folded input and reverses both SIMD dim and endianness.
Gets input data in folded shape and returns packed input data."""
ibuf_packed = finnpy_to_packed_bytearray(
ibuf_folded, self.idt, reverse_endian=True, reverse_inner=True
)
return ibuf_packed
def unpack_output(self, obuf_packed):
"""Unpacks the packed output buffer from accelerator.
Gets packed output and returns output data in folded shape."""
obuf_folded = packed_bytearray_to_finnpy(
obuf_packed, self.odt, self.oshape_folded, reverse_endian=True, reverse_inner=True
)
return obuf_folded
def unfold_output(self, obuf_folded):
"""Unfolds output data to normal shape.
Gets folded output data and returns output data in normal shape."""
obuf_normal = obuf_folded.reshape(self.oshape_normal)
return obuf_normal
def copy_input_data_to_device(self, data):
"""Copies given input data to PYNQ buffer."""
np.copyto(self.ibuf_packed_device, data)
def execute(self):
"""Executes accelerator by setting up the DMA and
waiting until all transfers complete. Uses only member variables and
returns nothing."""
dma = self.dma
dma.sendchannel.transfer(self.ibuf_packed_device)
dma.recvchannel.transfer(self.obuf_packed_device)
dma.sendchannel.wait()
dma.recvchannel.wait()
if __name__ == "__main__":
parser = argparse.ArgumentParser(description='Set exec mode, batchsize N, bitfile name, inputfile name and outputfile name')
parser.add_argument('--exec_mode', help='Please select functional verification ("execute") or throughput test ("throughput_test")', default="execute")
parser.add_argument('--batchsize', help='number of samples for inference', type=int, default=1)
parser.add_argument('--bitfile', help='name of bitfile (i.e. "resizer.bit")', default="resizer.bit")
parser.add_argument('--inputfile', help='name of input npy file (i.e. "input.npy")', default="input.npy")
parser.add_argument('--outputfile', help='name of output npy file (i.e. "output.npy")', default="output.npy")
# parse arguments
args = parser.parse_args()
exec_mode = args.exec_mode
N = args.batchsize
bitfile = args.bitfile
inputfile = args.inputfile
outputfile = args.outputfile
# instantiate FINN accelerator driver and pass batchsize and bitfile
finnDriver = FINNAccelDriver(N, bitfile)
# for the remote execution the data from the input npy file has to be loaded,
# packed and copied to the PYNQ buffer
if exec_mode == "execute":
# load desired input .npy file
ibuf_normal = np.load(inputfile)
ibuf_folded = finnDriver.fold_input(ibuf_normal)
ibuf_packed = finnDriver.pack_input(ibuf_folded)
finnDriver.copy_input_data_to_device(ibuf_packed)
elif exec_mode != "throughput_test":
raise Exception("Exec mode has to be set to remote_pynq or throughput_test")
# for the throughput test the runtime of the network has to be measured
if exec_mode == "throughput_test":
# measure runtime of network
start = time.time()
# dictionary for results of throughput test
res={}
# execute accelerator
finnDriver.execute()
# measure run time and fill dictionary with results of the throughput test
if exec_mode == "throughput_test":
end = time.time()
runtime = end - start
res["runtime[ms]"] = runtime*1000
res["throughput[images/s]"] = N / runtime
res["DRAM_in_bandwidth[Mb/s]"] = np.prod(finnDriver.ishape_packed)*0.000001 / runtime
res["DRAM_out_bandwidth[Mb/s]"] = np.prod(finnDriver.oshape_packed)*0.000001 / runtime
file = open("nw_metrics.txt", "w")
file.write(str(res))
file.close()
# if execution is selected unpack, unfold and save output to output npy file
else:
obuf_folded = finnDriver.unpack_output(finnDriver.obuf_packed_device)
obuf_normal = finnDriver.unfold_output(obuf_folded)
np.save(outputfile, obuf_normal)
を入力した。https://files.seeedstudio.com/arduino/package_seeeduino_boards_index.json
#include"LIS3DHTR.h"
LIS3DHTR<TwoWire> lis;
#include"TFT_eSPI.h"
#include <SPI.h>
TFT_eSPI tft;
void setup() {
lis.begin(Wire1);
if (!lis) {
Serial.println("ERROR");
while(1);
}
lis.setOutputDataRate(LIS3DHTR_DATARATE_25HZ); //Data output rate
lis.setFullScaleRange(LIS3DHTR_RANGE_2G); //Scale range set to 2g
tft.begin();
tft.setRotation(3);
tft.fillScreen(TFT_BLACK); //Black background
}
void loop() {
float x_values, y_values, z_values;
x_values = lis.getAccelerationX();
y_values = lis.getAccelerationY();
z_values = lis.getAccelerationZ();
char x_str[100], y_str[100], z_str[100];
dtostrf(x_values, 6, 2, x_str);
dtostrf(y_values, 6, 2, y_str);
dtostrf(z_values, 6, 2, z_str);
tft.drawString(x_str, 70, 60, 6);
tft.drawString(y_str, 70,110, 6);
tft.drawString(z_str, 70,160, 6);
delay(100);
}
・ Inserting the IP into a PYNQ Overlay Shell
・ Synthesis, Place and Route
・ Driver Generation
・ Deployment and Remote Execution
・ Throughput Test on PYNQ Board
ip_config.tcl resizer.cache resizer.ip_user_files resizer.xpr
make_project.sh resizer.hw resizer.srcs synth_project.sh
masaaki@masaaki-H110M4-M01:/media/masaaki/Ubuntu_Disk/Archives/Xilinx_tools/2020.1$ sudo apt install --reinstall ./xrt_202010.2.6.655_18.04-amd64-xrt.deb
パッケージリストを読み込んでいます... 完了
依存関係ツリーを作成しています
状態情報を読み取っています... 完了
注意、'./xrt_202010.2.6.655_18.04-amd64-xrt.deb' の代わりに 'xrt' を選択します
以下の追加パッケージがインストールされます:
libudev-dev
以下のパッケージが新たにインストールされます:
libudev-dev
以下のパッケージはアップグレードされます:
xrt
アップグレード: 1 個、新規インストール: 1 個、削除: 0 個、保留: 5 個。
8,166 kB 中 19.1 kB のアーカイブを取得する必要があります。
この操作後に追加で 681 kB のディスク容量が消費されます。
続行しますか? [Y/n] y
取得:1 /media/masaaki/Ubuntu_Disk/Archives/Xilinx_tools/2020.1/xrt_202010.2.6.655_18.04-amd64-xrt.deb xrt amd64 2.6.655 [8,147 kB]
取得:2 http://jp.archive.ubuntu.com/ubuntu bionic-updates/main amd64 libudev-dev amd64 237-3ubuntu10.41 [19.1 kB]
19.1 kB を 6秒 で取得しました (3,428 B/s)
以前に未選択のパッケージ libudev-dev:amd64 を選択しています。
(データベースを読み込んでいます ... 現在 465021 個のファイルとディレクトリがインストールされています。)
.../libudev-dev_237-3ubuntu10.41_amd64.deb を展開する準備をしています ...
libudev-dev:amd64 (237-3ubuntu10.41) を展開しています...
.../xrt_202010.2.6.655_18.04-amd64-xrt.deb を展開する準備をしています ...
Unregistering old XRT Linux kernel module sources 2.3.1301 from dkms on Ubuntu/Debian
-------- Uninstall Beginning --------
Module: xrt
Version: 2.3.1301
Kernel: 4.15.0-101-generic (x86_64)
-------------------------------------
Status: Before uninstall, this module version was ACTIVE on this kernel.
xocl.ko:
- Uninstallation
- Deleting from: /lib/modules/4.15.0-101-generic/updates/dkms/
- Original module
- No original module was found for this module on this kernel.
- Use the dkms install command to reinstall any previous module version.
xclmgmt.ko:
- Uninstallation
- Deleting from: /lib/modules/4.15.0-101-generic/updates/dkms/
- Original module
- No original module was found for this module on this kernel.
- Use the dkms install command to reinstall any previous module version.
depmod.......
DKMS: uninstall completed.
-------- Uninstall Beginning --------
Module: xrt
Version: 2.3.1301
Kernel: 4.15.0-99-generic (x86_64)
-------------------------------------
Status: Before uninstall, this module version was ACTIVE on this kernel.
xocl.ko:
- Uninstallation
- Deleting from: /lib/modules/4.15.0-99-generic/updates/dkms/
- Original module
- No original module was found for this module on this kernel.
- Use the dkms install command to reinstall any previous module version.
xclmgmt.ko:
- Uninstallation
- Deleting from: /lib/modules/4.15.0-99-generic/updates/dkms/
- Original module
- No original module was found for this module on this kernel.
- Use the dkms install command to reinstall any previous module version.
depmod.......
DKMS: uninstall completed.
------------------------------
Deleting module version: 2.3.1301
completely from the DKMS tree.
------------------------------
Done.
Cleanup is skipped for package upgrade/downgrade/re-install on Ubuntu/Debian
xrt (2.6.655) で (2.3.1301 に) 上書き展開しています ...
libudev-dev:amd64 (237-3ubuntu10.41) を設定しています ...
xrt (2.6.655) を設定しています ...
Unloading old XRT Linux kernel modules
rmmod: ERROR: Module xocl is not currently loaded
rmmod: ERROR: Module xclmgmt is not currently loaded
Invoking DKMS common.postinst for xrt
Loading new xrt-2.6.655 DKMS files...
Building for 4.15.0-101-generic
Building initial module for 4.15.0-101-generic
Secure Boot not enabled on this system.
Done.
xocl:
Running module version sanity check.
- Original module
- No original module exists within this kernel
- Installation
- Installing to /lib/modules/4.15.0-101-generic/updates/dkms/
xclmgmt.ko:
Running module version sanity check.
- Original module
- No original module exists within this kernel
- Installation
- Installing to /lib/modules/4.15.0-101-generic/updates/dkms/
depmod....
DKMS: install completed.
Finished DKMS common.postinst
Loading new XRT Linux kernel modules
Installing MSD / MPD daemons
Skipping pyopencl installation...
man-db (2.8.3-2ubuntu0.1) のトリガを処理しています ...
N: ファイル '/media/masaaki/Ubuntu_Disk/Archives/Xilinx_tools/2020.1/xrt_202010.2.6.655_18.04-amd64-xrt.deb' がユーザ '_apt' からアクセスできないため、ダウンロードは root でサンドボックスを通さずに行われます。 - pkgAcquire::Run (13: 許可がありません)
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | 1 | 2 | 3 | 4 | 5 | 6 |
7 | 8 | 9 | 10 | 11 | 12 | 13 |
14 | 15 | 16 | 17 | 18 | 19 | 20 |
21 | 22 | 23 | 24 | 25 | 26 | 27 |
28 | 29 | 30 | - | - | - | - |