(vitis-ai-tensorflow) masaaki@masaaki-H110M4-M01:/workspace/Vitis-AI-Tutorials/f
iles$ source 1_train.sh
-----------------------------------------
TRAINING STARTED..
-----------------------------------------
------------------------------------
Keras version : 2.2.4-tf
TensorFlow version : 1.15.2
Python version : 3.6.10 |Anaconda, Inc.| (default, Mar 25 2020, 23:51:54)
[GCC 7.3.0]
------------------------------------
Command line options:
--input_height : 28
--input_width : 28
--input_chan : 1
--epochs : 5
--batchsize : 100
--learnrate : 0.001
--output_ckpt_path: ./build/chkpts/float_model.ckpt
--infer_graph_path: ./build/chkpts/inference_graph.pb
--tboard_path : ./build/tb_logs
--gpu : 0
------------------------------------
Downloading data from https://storage.googleapis.com/tensorflow/tf-keras-datasets/mnist.npz
11493376/11490434 [==============================] - 1s 0us/step
WARNING:tensorflow:From /workspace/Vitis-AI-Tutorials/files/customcnn.py:42: conv2d (from tensorflow.python.layers.convolutional) is deprecated and will be removed in a future version.
Instructions for updating:
Use `tf.keras.layers.Conv2D` instead.
WARNING:tensorflow:From /opt/vitis_ai/conda/envs/vitis-ai-tensorflow/lib/python3.6/site-packages/tensorflow_core/python/layers/convolutional.py:424: Layer.apply (from tensorflow.python.keras.engine.base_layer) is deprecated and will be removed in a future version.
Instructions for updating:
Please use `layer.__call__` method instead.
WARNING:tensorflow:From /workspace/Vitis-AI-Tutorials/files/customcnn.py:43: batch_normalization (from tensorflow.python.layers.normalization) is deprecated and will be removed in a future version.
Instructions for updating:
Use keras.layers.BatchNormalization instead. In particular, `tf.control_dependencies(tf.GraphKeys.UPDATE_OPS)` should not be used (consult the `tf.keras.layers.batch_normalization` documentation).
WARNING:tensorflow:From /workspace/Vitis-AI-Tutorials/files/customcnn.py:63: flatten (from tensorflow.python.layers.core) is deprecated and will be removed in a future version.
Instructions for updating:
Use keras.layers.flatten instead.
WARNING:tensorflow:From /opt/vitis_ai/conda/envs/vitis-ai-tensorflow/lib/python3.6/site-packages/tensorflow_core/python/ops/losses/losses_impl.py:121: where (from tensorflow.python.ops.array_ops) is deprecated and will be removed in a future version.
Instructions for updating:
Use tf.where in 2.0, which has the same broadcast rule as np.where
Network input shape: (?, 28, 28, 1)
(?, 14, 14, 16)
(?, 7, 7, 32)
(?, 4, 4, 64)
(?, 1, 1, 10)
Network output shape: (?, 10)
----------------------------
TRAINING STARTED...
----------------------------
Epoch 1 / 5 - accuracy 97.32 %
Epoch 2 / 5 - accuracy 98.14 %
Epoch 3 / 5 - accuracy 98.38 %
Epoch 4 / 5 - accuracy 98.50 %
Epoch 5 / 5 - accuracy 98.44 %
----------------------------
SAVING CHECKPOINT & GRAPH...
----------------------------
Saved checkpoint to ./build/chkpts/float_model.ckpt
Network input shape: (?, 28, 28, 1)
(?, 14, 14, 16)
(?, 7, 7, 32)
(?, 4, 4, 64)
(?, 1, 1, 10)
Network output shape: (?, 10)
Saved binary inference graph to ./build/chkpts/inference_graph.pb
Run `tensorboard --logdir=./build/tb_logs --port 6006 --host localhost` to see the results.
-----------------------------------------
TRAINING COMPLETED
-----------------------------------------
ERROR: [HLS 200-1471] Stop unrolling loop 'af1_dot2' (all_deploy_afnet4mnist2_202/mnist_nn.cpp:844) in function 'mnist_nn' because it may cause large runtime and excessive memory usage due to increase in code size. Please avoid unrolling the loop or form sub-functions for code in the loop body.\
#pragma HLS INTERFACE s_axilite port=lap_fb
#pragma HLS INTERFACE s_axilite port=cam_fb
Compiling ../../../laplacian_filter4.cpp in debug mode
Generating csim.exe
Makefile.rules:392: recipe for target 'csim.exe' failed
obj/lap_filter_tb.o: 関数 `main' 内:
/tmp/apcc_db_masaaki/56901606564857179227/lap_filter_tb.c:1193: `lap_filter_axim' に対する定義されていない参照です
clang++: error: linker command failed with exit code 1 (use -v to see invocation)
make: *** [csim.exe] Error 1
ERROR: [SIM 211-100] 'csim_design' failed: compilation error(s).
INFO: [SIM 211-3] *************** CSIM finish ***************
ERROR: [HLS 214-200] Bundle name conflict happens between interface pragma, possible reason: (1) same with maxi offset=off bundle name; (2) same bundle name between maxi and s_axilite interfaces; (3) same with port name directly; in Function 'lap_filter_axim(int volatile*, int volatile*)' (laplacian_filter4/laplacian_filter4.cpp:13:0)
#pragma HLS INTERFACE m_axi depth=3072 port=cam_fb offset=slave bundle=cam_fb0
#pragma HLS INTERFACE m_axi depth=3072 port=lap_fb offset=slave bundle=lap_fb0
#include "foo.h"
#include "ap_utils.h"
void foo(volatile ap_uint<32> *reg, volatile op_type *raw_line){
#pragma HLS INTERFACE ap_none port=raw_line
#pragma HLS INTERFACE s_axilite bundle=ctrl port=reg
#pragma HLS INTERFACE s_axilite bundle=ctrl port=return
ap_uint<32> local_reg = *reg;
if ((local_reg & 1) == 1){
*raw_line = 1;
local_reg.clear(0);
ap_wait_n(50);
}
*reg = local_reg;
*raw_line = 0;
}
// foo.h
// 2020/11/20 by marsee
//
#ifndef __FOO_H__
#define __FOO_H__
#include "ap_int.h"
typedef ap_uint<1> op_type;
// typedef bool op_type;
#endif
// foo_tb.cpp
// 2020/11/20 by marsee
//
#include "foo.h"
void foo(volatile ap_uint<32> *reg, volatile op_type *raw_line);
int main(){
ap_uint<32> reg;
op_type raw_line;
reg = 0; raw_line = 1;
foo(®, &raw_line);
std::cout << "reg = " << reg << " raw_line = " << raw_line << std::endl;
reg = 3; raw_line = 0;
foo(®, &raw_line);
std::cout << "reg = " << reg << " raw_line = " << raw_line << std::endl;
return(0);
}
// mb_app.c
// 2020/11/13 by marsee
//
#include <stdio.h>
#include <stdint.h>
#include <unistd.h>
#define TX_FIFO_EMPTY 0x80
#define RX_FIFO_EMPTY 0x40
#define BB 0x04
#define AXI_GPIO_0 0x40000000
#define AXI_GPIO_1 0x40010000
#define AXI_IIC_0 0x40800000
void acc_sensor_init(volatile uint32_t *axi_iic_ad){
axi_iic_ad[72] = 0x0F; // RX_FIFI_PIRQ
axi_iic_ad[64] = 0x2; // Control Register (100h) reset tx fifo
axi_iic_ad[64] = 0x1; // Control Register (100h) enable i2c
}
void idle_check(volatile uint32_t *axi_iic_ad){
int32_t status_reg;
int32_t check_bit;
do{
status_reg = axi_iic_ad[65]; // Status Register (104h))
check_bit = status_reg & (TX_FIFO_EMPTY | RX_FIFO_EMPTY | BB);
}while(check_bit != (TX_FIFO_EMPTY | RX_FIFO_EMPTY)) ;
}
void acc_sensor_write(volatile uint32_t *axi_iic_ad, uint32_t device_addr, uint32_t write_addr, uint32_t write_data){
idle_check(axi_iic_ad);
axi_iic_ad[66] = 0x100 | (device_addr & 0xfe); // Slave IIC Write Address, address is 0x108, i2c_tx_fifo
axi_iic_ad[66] = write_addr & 0xff; // address
axi_iic_ad[66] = 0x200 | (write_data & 0xff); // data
}
uint32_t acc_sensor_read(volatile uint32_t *axi_iic_ad, uint32_t device_addr, uint32_t read_addr){
int32_t status_reg, rx_fifo_empty;
idle_check(axi_iic_ad);
axi_iic_ad[66] = 0x100 | (device_addr & 0xfe); // Slave IIC Write Address, address is 0x108, i2c_tx_fifo
axi_iic_ad[66] = read_addr & 0xff; // address byte
axi_iic_ad[66] = 0x100 | (device_addr & 0xff); // Slave IIC Read Address, address is 0x108, i2c_tx_fifo, with repeat start
axi_iic_ad[66] = 0x201; // 1 byte data, NACK condition
do{
status_reg = axi_iic_ad[65];
rx_fifo_empty = status_reg & RX_FIFO_EMPTY;
}while(rx_fifo_empty); // Wait untill not RX_FIFO_EMPTY(Status Register (104h))
int32_t read_data = axi_iic_ad[67] & 0xff; // Read Receive FIFO (10Ch)
return(read_data);
}
void acc_sensor_recv(volatile uint32_t *axi_iic_ad, int32_t *dataX, int32_t *dataY, int32_t *dataZ){
int32_t read_data, data_ready;
do{
read_data = acc_sensor_read(axi_iic_ad, 0x3b, 0x04);
data_ready = read_data & 0x01;
}while(data_ready != 0x01);
*dataX = acc_sensor_read(axi_iic_ad, 0x3b, 0x08) << 12; // XDATA3
*dataX |= (acc_sensor_read(axi_iic_ad, 0x3b, 0x09) << 4); // XDATA2
*dataX |= ((acc_sensor_read(axi_iic_ad, 0x3b, 0x0a) & 0xf0) >> 4); // XDATA1
*dataY = acc_sensor_read(axi_iic_ad, 0x3b, 0x0b) << 12; // YDATA3
*dataY |= (acc_sensor_read(axi_iic_ad, 0x3b, 0x0c) << 4); // YDATA2
*dataY |= ((acc_sensor_read(axi_iic_ad, 0x3b, 0x0d) & 0xf0) >> 4); // YDATA1
*dataZ = acc_sensor_read(axi_iic_ad, 0x3b, 0x0e) << 12; // ZDATA3
*dataZ |= (acc_sensor_read(axi_iic_ad, 0x3b, 0x0f) << 4); // ZDATA2
*dataZ |= ((acc_sensor_read(axi_iic_ad, 0x3b, 0x10) & 0xf0) >> 4); // ZDATA1
}
int main(){
volatile uint32_t *axi_iic_ad, *axi_gpio_0_ad, *axi_gpio_1_ad;
int32_t dataX, dataY, dataZ;
uint8_t count, count_b;
axi_iic_ad = (volatile uint32_t *)AXI_IIC_0;
axi_gpio_0_ad = (volatile uint32_t *)AXI_GPIO_0;
axi_gpio_1_ad = (volatile uint32_t *)AXI_GPIO_1;
acc_sensor_init(axi_iic_ad);
acc_sensor_write(axi_iic_ad, 0x3a, 0x2c, 0x82); // I2C speed is Hi speed, +-4g
acc_sensor_write(axi_iic_ad, 0x3a, 0x1e, 0x00); // OFFSET_X_H
acc_sensor_write(axi_iic_ad, 0x3a, 0x1f, 0x00); // OFFSET_X_L
acc_sensor_write(axi_iic_ad, 0x3a, 0x20, 0x00); // OFFSET_Y_H
acc_sensor_write(axi_iic_ad, 0x3a, 0x21, 0x00); // OFFSET_Y_L
acc_sensor_write(axi_iic_ad, 0x3a, 0x22, 0x00); // OFFSET_Z_H
acc_sensor_write(axi_iic_ad, 0x3a, 0x23, 0x00); // OFFSET_Z_L
acc_sensor_write(axi_iic_ad, 0x3a, 0x2d, 0x00); // stanby clear
int start = 1;
while(1){
while(1){ // wait new count
count = (uint8_t)(axi_gpio_0_ad[0] & 0xff);
if(start == 1){
count_b = count - 1;
start = 0;
}
if(count /= count_b){ // sensor receive start
count_b = count;
break;
} else {
usleep(500); // 500 us wait
}
}
dataX = 0; dataY = 0; dataZ = 0;
acc_sensor_recv(axi_iic_ad, &dataX, &dataY, &dataZ);
dataX |= ((uint32_t)count << 24);
axi_gpio_0_ad[0] = dataX; // GPIO_0, 0x0, GPIO_DATA
axi_gpio_0_ad[2] = dataY; // GPIO_0, 0x8, GPIO2_DATA
axi_gpio_1_ad[0] = dataZ; // GPIO_1, 0x0, GPIO_DATA
}
return(0);
}
// mb_gps.c
// 2020/11/17 by marsee
// reference : GPS NMEA format
// https://www.hiramine.com/physicalcomputing/general/gps_nmeaformat.html
//
#include <stdio.h>
#include <stdint.h>
#include <stdlib.h>
#include <unistd.h>
#include "xparameters.h"
#include "xuartlite_l.h"
// XPAR_GPS_UARTLITE_AXI_GPIO_MG0_BASEADDR 0x40000000 : [0]-latitude, [2]-longitude
// XPAR_GPS_UARTLITE_AXI_GPIO_MG1_BASEADDR 0x40010000 : [0]-gps_time
int main(){
int i;
char buf[100];
char gps_time_s[20], latitude_s[20], longitude_s[20];
int32_t gps_time, latitude, longitude;
volatile int32_t *gpio_mg0, *gpio_mg1;
double temp;
gpio_mg0 = (volatile int32_t *)XPAR_GPS_UARTLITE_AXI_GPIO_MG0_BASEADDR;
gpio_mg1 = (volatile int32_t *)XPAR_GPS_UARTLITE_AXI_GPIO_MG1_BASEADDR;
XUartLite_SetControlReg(XPAR_GPS_UARTLITE_AXI_UARTLITE_0_BASEADDR, XUL_CR_FIFO_RX_RESET|XUL_CR_FIFO_TX_RESET); // Rst Rx FIFO, Rst TX FIFO
XUartLite_SetControlReg(XPAR_GPS_UARTLITE_AXI_UARTLITE_0_BASEADDR, 0); // normal
while(1){
for(i=0; i<200; i++){
char status = XUartLite_GetStatusReg(XPAR_GPS_UARTLITE_AXI_UARTLITE_0_BASEADDR);
while(!(status & XUL_SR_RX_FIFO_VALID_DATA)); // Wait received data
u8 read_data = XUartLite_RecvByte(XPAR_GPS_UARTLITE_AXI_UARTLITE_0_BASEADDR);
buf[i] = read_data;
if(read_data == '\n')
break;
}
if(strstr(buf, "$GPRMC") == NULL)
continue;
strncpy(gps_time_s, &buf[7], 6); // UTC Time
gps_time_s[6] = '\0';
strncpy(latitude_s, &buf[20], 8);
latitude_s[8] = '\0';
strncpy(longitude_s, &buf[32], 9);
longitude_s[9] = '\0';
temp = atof(latitude_s); // convert double
latitude = (int32_t)(temp * 1000.0);
temp = atof(longitude_s); // convert double
longitude = (int32_t)(temp * 1000.0);
gps_time = (int32_t)(atoi(gps_time_s));
gpio_mg0[0] = latitude;
gpio_mg0[2] = longitude;
gpio_mg1[0] = gps_time;
}
}
// arm_app.c
// 2020/11/16 by marsee
//
#include <stdio.h>
#include <stdint.h>
#include <unistd.h>
#include "xtime_l.h"
#include "xparameters.h"
#define WAIT_US 3333 // 3333 us = 300 Hz
// XPAR_AXI_UARTLITE_0_BASEADDR 0x42C00000U : for GPS UART
// XPAR_AXI_GPIO_4_BASEADDR 0x41200000 : for GPS gpio
// XPAR_MB_IIC_0_AXI_GPIO_P00_BASEADDR 0x41210000 : for MB0 X and Y axis
// XPAR_MB_IIC_0_AXI_GPIO_P01_BASEADDR 0x41250000 : for MB0 Z axis
// XPAR_MB_IIC_1_AXI_GPIO_P10_BASEADDR 0x41220000 : for MB1 X and Y axis
// XPAR_MB_IIC_1_AXI_GPIO_P11_BASEADDR 0x41260000 : for MB1 Z axis
// XPAR_MB_IIC_2_AXI_GPIO_P20_BASEADDR 0x41230000 : for MB2 X and Y axis
// XPAR_MB_IIC_2_AXI_GPIO_P21_BASEADDR 0x41270000 : for MB2 Z axis
// XPAR_MB_IIC_3_AXI_GPIO_P30_BASEADDR 0x41240000 : for MB3 X and Y axis
// XPAR_MB_IIC_3_AXI_GPIO_P31_BASEADDR 0x41280000 : for MB3 Z axis
// XPAR_GPS_UARTLITE_AXI_GPIO_PG0_BASEADDR 0x41290000 : for GPS axi_uartlite
// XPAR_GPS_UARTLITE_AXI_GPIO_PG1_BASEADDR 0x412A0000 : for GPS axi_uartlite
// XPAR_AXI_GPIO_4_BASEADDR 0x41200000 : for GPS pps
void get_axis_data(volatile int32_t *gpio0, volatile int32_t *gpio1, uint8_t count,
int32_t *dataX, int32_t *dataY, int32_t *dataZ){
int32_t gpiod;
do {
gpiod = gpio0[0]; // dataX
} while(((gpiod>>24) & 0xff) != count) ;
if(gpiod & 0x80000) // sign extended
gpiod |= 0xfff00000;
else
gpiod &= 0x000fffff;
*dataX = gpiod;
gpiod = gpio0[2]; // dataY
if(gpiod & 0x80000) // sign extended
gpiod |= 0xfff00000;
else
gpiod &= 0x000fffff;
*dataY = gpiod;
gpiod = gpio1[0]; // dataZ
if(gpiod & 0x80000) // sign extended
gpiod |= 0xfff00000;
else
gpiod &= 0x000fffff;
*dataZ = gpiod;
}
int main(){
volatile int32_t *mb_p00, *mb_p01, *mb_p10, *mb_p11, *mb_p20, *mb_p21, *mb_p30, *mb_p31;
volatile int32_t *gps_uart_pg0, *gps_uart_pg1, *gps_pps;
int32_t dataX0, dataY0, dataZ0;
int32_t dataX1, dataY1, dataZ1;
int32_t dataX2, dataY2, dataZ2;
int32_t dataX3, dataY3, dataZ3;
uint8_t count;
XTime time;
long long int time_us, time_us_n, temp_time;
int32_t latitude, longitude, gps_time, pps;
uint32_t int_time;
mb_p00 = (volatile int32_t *)XPAR_MB_IIC_0_AXI_GPIO_P00_BASEADDR;
mb_p01 = (volatile int32_t *)XPAR_MB_IIC_0_AXI_GPIO_P01_BASEADDR;
mb_p10 = (volatile int32_t *)XPAR_MB_IIC_1_AXI_GPIO_P10_BASEADDR;
mb_p11 = (volatile int32_t *)XPAR_MB_IIC_1_AXI_GPIO_P11_BASEADDR;
mb_p20 = (volatile int32_t *)XPAR_MB_IIC_2_AXI_GPIO_P20_BASEADDR;
mb_p21 = (volatile int32_t *)XPAR_MB_IIC_2_AXI_GPIO_P21_BASEADDR;
mb_p30 = (volatile int32_t *)XPAR_MB_IIC_3_AXI_GPIO_P30_BASEADDR;
mb_p31 = (volatile int32_t *)XPAR_MB_IIC_3_AXI_GPIO_P31_BASEADDR;
gps_uart_pg0 = (volatile int32_t *)XPAR_GPS_UARTLITE_AXI_GPIO_PG0_BASEADDR;
gps_uart_pg1 = (volatile int32_t *)XPAR_GPS_UARTLITE_AXI_GPIO_PG1_BASEADDR;
gps_pps = (volatile int32_t *)XPAR_AXI_GPIO_4_BASEADDR;
count = 0;
XTime_GetTime(&time);
time_us = (long long int)((double)(time)/333.333);
int_time = time_us;
while(1){
usleep(2500); // 2.5 ms Wait
do {
XTime_GetTime(&time);
time_us_n = (long long int)((double)(time)/333.333);
usleep(10); // 10us Wait
} while((time_us_n - time_us) < WAIT_US);
time_us = time_us_n;
count++;
mb_p00[0] = count;
mb_p10[0] = count;
mb_p20[0] = count;
mb_p30[0] = count;
get_axis_data(mb_p00, mb_p01, count, &dataX0, &dataY0, &dataZ0);
get_axis_data(mb_p10, mb_p11, count, &dataX1, &dataY1, &dataZ1);
get_axis_data(mb_p20, mb_p21, count, &dataX2, &dataY2, &dataZ2);
get_axis_data(mb_p30, mb_p31, count, &dataX3, &dataY3, &dataZ3);
latitude = gps_uart_pg0[0];
longitude = gps_uart_pg0[2];
gps_time = gps_uart_pg1[0];
pps = gps_pps[0];
temp_time = (time_us/1000000)*1000000;
int_time = (uint32_t)(time_us - temp_time);
printf("0, %d, %d, %d, %d, %d, %x, %x, %x, %x, %x, %x, %x, %x, %x, %x, %x, %x\n", latitude, longitude, gps_time, pps, int_time,
dataX0, dataY0, dataZ0, dataX1, dataY1, dataZ1, dataX2, dataY2, dataZ2, dataX3, dataY3, dataZ3);
}
}
set_property PACKAGE_PIN V8 [get_ports iic_0_scl_io]; # JB,1
set_property PACKAGE_PIN W8 [get_ports iic_0_sda_io]; # JB,2
set_property IOSTANDARD LVCMOS33 [get_ports iic_0_scl_io];
set_property IOSTANDARD LVCMOS33 [get_ports iic_0_sda_io];
set_property PACKAGE_PIN V6 [get_ports iic_1_scl_io]; # JB,9
set_property PACKAGE_PIN W6 [get_ports iic_1_sda_io]; # JB,10
set_property IOSTANDARD LVCMOS33 [get_ports iic_1_scl_io];
set_property IOSTANDARD LVCMOS33 [get_ports iic_1_sda_io];
set_property PACKAGE_PIN V15 [get_ports iic_2_scl_io]; # JC,1
set_property PACKAGE_PIN W15 [get_ports iic_2_sda_io]; # JC,2
set_property IOSTANDARD LVCMOS33 [get_ports iic_2_scl_io];
set_property IOSTANDARD LVCMOS33 [get_ports iic_2_sda_io];
set_property PACKAGE_PIN T11 [get_ports iic_3_scl_io]; # JC,3
set_property PACKAGE_PIN T10 [get_ports iic_3_sda_io]; # JC,4
set_property IOSTANDARD LVCMOS33 [get_ports iic_3_scl_io];
set_property IOSTANDARD LVCMOS33 [get_ports iic_3_sda_io];
set_property PACKAGE_PIN U7 [get_ports uart_0_rxd]; # JB,3
set_property PACKAGE_PIN V7 [get_ports uart_0_txd]; # JB,4
set_property IOSTANDARD LVCMOS33 [get_ports uart_0_rxd];
set_property IOSTANDARD LVCMOS33 [get_ports uart_0_txd];
set_property PACKAGE_PIN Y7 [get_ports gpio_0_tri_i]; # JB,7
set_property IOSTANDARD LVCMOS33 [get_ports gpio_0_tri_i];
g++ -L/runtime/lib/x86_64 -lstdc++ -lxilinxopencl -lgomp ./src/streamHost-all.o -o gstreambench
/lnx64/binutils-2.26/bin/ld: cannot find crt1.o: No such file or directory
/lnx64/binutils-2.26/bin/ld: cannot find crti.o: No such file or directory
/lnx64/binutils-2.26/bin/ld: cannot find -lm
/lnx64/binutils-2.26/bin/ld: cannot find -lc
/lnx64/binutils-2.26/bin/ld: cannot find crtn.o: No such file or directory
collect2: ld returned 1 exit status
[Console output redirected to file:/home/u_marsee101/Vitis_Work/U50/2019.2/vadd/Emulation-SW/vadd-Default.launch.log]
Loading: '../binary_container_1.xclbin'
TEST PASSED
[Console output redirected to file:/home/u_marsee101/Vitis_Work/U50/2019.2/vadd/Emulation-HW/vadd-Default.launch.log]
Loading: '../binary_container_1.xclbin'
INFO: [HW-EM 01] Hardware emulation runs simulation underneath. Using a large data set will result in long simulation times. It is recommended that a small dataset is used for faster execution. The flow uses approximate models for DDR memory and interconnect and hence the performance data generated is approximate.
TEST PASSED
INFO: [HW-EM 06-0] Waiting for the simulator process to exit
INFO: [HW-EM 06-1] All the simulator processes exited successfully
u_marsee101@as004:~$ debug_hw --xvc_pcie /dev/xvc_pri.m0 --hw_server
launching xvc_pcie...
/tools/Xilinx/Vivado/2019.2/bin/xvc_pcie -d /dev/xvc_pri.m0 -s TCP::10200
launching hw_server...
/tools/Xilinx/Vivado/2019.2/bin/hw_server -sTCP::3121
****************************
*** Press Ctrl-C to exit ***
****************************
ERROR: xvc_pcie process terminated with error code 2.
u_marsee101@as004:~$ xbutil scan
INFO: Found total 1 card(s), 1 are usable
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
System Configuration
OS name: Linux
Release: 3.10.0-957.27.2.el7.x86_64
Version: #1 SMP Mon Jul 29 17:46:05 UTC 2019
Machine: x86_64
Model: To Be Filled By O.E.M.
CPU cores: 16
Memory: 128432 MB
Glibc: 2.27
Distribution: Ubuntu 18.04.4 LTS
Now: Fri Nov 13 04:34:39 2020
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
XRT Information
Version: 2.6.655
Git Hash: 2d6bfe4ce91051d4e5b499d38fc493586dd4859a
Git Branch: 2020.1
Build Date: 2020-05-22 12:05:03
XOCL: 2.6.655,2d6bfe4ce91051d4e5b499d38fc493586dd4859a
XCLMGMT: 2.6.655,2d6bfe4ce91051d4e5b499d38fc493586dd4859a
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
[0] 0000:01:00.1 xilinx_u50_gen3x16_xdma_201920_3 user(inst=129)
WARNING: Kernel verison 3.10.0-957.27.2.el7.x86_64 is not officially supported. 5.3.0 is the latest supported version
1 番ピン ー SDA
2 番ピン ー 空き
3 番ピン ー SCL
4 番ピン ー 空き
5 番ピン ー +3.3V
6 番ピン ー GND
7 番ピン ー +3.3V
8 番ピン ー GND
root@ultra96v2-2020-1:~# ifconfig
eth0 Link encap:Ethernet HWaddr 00:22:CF:00:0C:37
inet addr:192.168.3.23 Bcast:192.168.3.255 Mask:255.255.255.0
inet6 addr: fe80::222:cfff:fe00:c37/64 Scope:Link
UP BROADCAST RUNNING MULTICAST MTU:1500 Metric:1
RX packets:206 errors:0 dropped:68 overruns:0 frame:0
TX packets:174 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes:21934 (21.4 KiB) TX bytes:30373 (29.6 KiB)
lo Link encap:Local Loopback
inet addr:127.0.0.1 Mask:255.0.0.0
inet6 addr: ::1/128 Scope:Host
UP LOOPBACK RUNNING MTU:65536 Metric:1
RX packets:26 errors:0 dropped:0 overruns:0 frame:0
TX packets:26 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes:4599 (4.4 KiB) TX bytes:4599 (4.4 KiB)
mon.p2p0 Link encap:UNSPEC HWaddr 00-00-00-00-00-00-00-63-00-00-00-00-00-00-00-00
UP BROADCAST RUNNING MULTICAST MTU:1500 Metric:1
RX packets:0 errors:0 dropped:0 overruns:0 frame:0
TX packets:0 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes:0 (0.0 B) TX bytes:0 (0.0 B)
p2p0 Link encap:Ethernet HWaddr FA:F0:05:C4:17:3C
inet addr:192.168.2.1 Bcast:192.168.2.255 Mask:255.255.255.0
inet6 addr: fe80::f8f0:5ff:fec4:173c/64 Scope:Link
UP BROADCAST RUNNING MULTICAST MTU:1500 Metric:1
RX packets:0 errors:0 dropped:0 overruns:0 frame:0
TX packets:54 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes:0 (0.0 B) TX bytes:10466 (10.2 KiB)
wlan0 Link encap:Ethernet HWaddr F8:F0:05:C4:17:3C
inet6 addr: fe80::faf0:5ff:fec4:173c/64 Scope:Link
UP BROADCAST MULTICAST MTU:1500 Metric:1
RX packets:0 errors:0 dropped:0 overruns:0 frame:0
TX packets:27 errors:0 dropped:0 overruns:0 carrier:0
collisions:0 txqueuelen:1000
RX bytes:0 (0.0 B) TX bytes:4207 (4.1 KiB)
root@ultra96v2-2020-1:~# run_1920_1080
711
failed to find mode "1920x1080" for connector 42
failed to create dumb buffer: Invalid argument
testing 1920x1080@YUYV overlay plane 38
Setting pipeline to PAUSED ...
ERROR: Pipeline doesn't want to pause.
ERROR: from element /GstPipeline:pipeline0/GstKMSSink:kmssink0: Could not get allowed GstCaps of device
Additional debug info:
../../../git/sys/kms/gstkmssink.c(1102): gst_kms_sink_start (): /GstPipeline:pipeline0/GstKMSSink:kmssink0:
driver does not provide mode settings configuration
Setting pipeline to NULL ...
Freeing pipeline ...
/usr/bin/run_1920_1080: line 20: kill: (711) - No such process
root@ultra96v2-2020-1:~# run_1920_1080
711
setting mode 1920x1080-60.00Hz@RG16 on connectors 42, crtc 40
testing 1920x1080@YUYV overlay plane 38
Setting pipeline to PAUSED ...
Pipeline is live and does not need PREROLL ...
/GstPipeline:pipeline0/GstKMSSink:kmssink0: display-width = 1920
/GstPipeline:pipeline0/GstKMSSink:kmssink0: display-height = 1080
Setting pipeline to PLAYING ...
New clock: GstSystemClock
/GstPipeline:pipeline0/GstV4l2Src:v4l2src0.GstPad:src: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
/GstPipeline:pipeline0/GstCapsFilter:capsfilter0.GstPad:src: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
/GstPipeline:pipeline0/GstVideoConvert:videoconvert0.GstPad:src: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
/GstPipeline:pipeline0/GstKMSSink:kmssink0.GstPad:sink: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
/GstPipeline:pipeline0/GstVideoConvert:videoconvert0.GstPad:sink: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
/GstPipeline:pipeline0/GstCapsFilter:capsfilter0.GstPad:sink: caps = video/x-raw, width=(int)1920, height=(int)1080, format=(string)YUY2, framerate=(fraction)60/1, interlace-mode=(string)progressive, colorimetry=(string)bt709
Xilinx Zynq MP First Stage Boot Loader
Release 2020.1 Aug 14 2020 - 22:53:13
NOTICE: ATF running on XCZU3EG/silicon v4/RTL5.1 at 0xfffea000
NOTICE: BL31: v2.2(release):v1.1-5588-g5918e656e
NOTICE: BL31: Built : 22:34:55, Aug 14 2020
U-Boot 2020.01 (Aug 18 2020 - 17:33:39 +0000)
Model: Avnet Ultra96 Rev1
Board: Xilinx ZynqMP
DRAM: 2 GiB
PMUFW: v1.1
EL Level: EL2
Chip ID: zu3eg
NAND: 0 MiB
MMC: mmc@ff160000: 0, mmc@ff170000: 1
In: serial@ff010000
Out: serial@ff010000
Err: serial@ff010000
Bootmode: SD_MODE
Reset reason: EXTERNAL
Net: No ethernet found.
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc0 is current device
Scanning mmc 0:1...
Found U-Boot script /boot.scr
2007 bytes read in 19 ms (102.5 KiB/s)
## Executing script at 20000000
8313964 bytes read in 623 ms (12.7 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
Using 'conf@system-top.dtb' configuration
Trying 'kernel@1' kernel subimage
Description: Linux kernel
Type: Kernel Image
Compression: gzip compressed
Data Start: 0x100000f4
Data Size: 8251525 Bytes = 7.9 MiB
Architecture: AArch64
OS: Linux
Load Address: 0x00080000
Entry Point: 0x00080000
Hash algo: sha256
Hash value: 22ed1c58ad614712b771a0e27ff96d52d6b3269321ee423885176b4c41550f32
Verifying Hash Integrity ... sha256+ OK
## Loading fdt from FIT Image at 10000000 ...
Using 'conf@system-top.dtb' configuration
Trying 'fdt@system-top.dtb' fdt subimage
Description: Flattened Device Tree blob
Type: Flat Device Tree
Compression: uncompressed
Data Start: 0x107dea8c
Data Size: 60535 Bytes = 59.1 KiB
Architecture: AArch64
Hash algo: sha256
Hash value: 239f2beeb0c26cfc03521c3c00c8931a234b07770ea13e7fa072f91e0669b391
Verifying Hash Integrity ... sha256+ OK
Booting using the fdt blob at 0x107dea8c
Uncompressing Kernel Image
Loading Device Tree to 000000000ffee000, end 000000000ffffc76 ... OK
Starting kernel ...
[ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[ 0.000000] Linux version 5.4.0-xilinx-v2020.1 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Tue Aug 18 16:15:52 UTC 2020
[ 0.000000] Machine model: Avnet Ultra96 Rev1
[ 0.000000] earlycon: cdns0 at MMIO 0x00000000ff010000 (options '115200n8')
[ 0.000000] printk: bootconsole [cdns0] enabled
[ 0.000000] efi: Getting EFI parameters from FDT:
[ 0.000000] efi: UEFI not found.
[ 0.000000] Reserved memory: created DMA memory pool at 0x000000003ed40000, size 1 MiB
[ 0.000000] OF: reserved mem: initialized node rproc@3ed400000, compatible id shared-dma-pool
[ 0.000000] cma: Reserved 512 MiB at 0x000000005fc00000
[ 0.000000] psci: probing for conduit method from DT.
[ 0.000000] psci: PSCIv1.1 detected in firmware.
[ 0.000000] psci: Using standard PSCI v0.2 function IDs
[ 0.000000] psci: MIGRATE_INFO_TYPE not supported.
[ 0.000000] psci: SMC Calling Convention v1.1
[ 0.000000] percpu: Embedded 22 pages/cpu s49944 r8192 d31976 u90112
[ 0.000000] Detected VIPT I-cache on CPU0
[ 0.000000] CPU features: detected: ARM erratum 845719
[ 0.000000] Speculative Store Bypass Disable mitigation not required
[ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 515524
[ 0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk0p2 rw rootwait cma=512M
[ 0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[ 0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[ 0.000000] Memory: 1512432K/2094848K available (11836K kernel code, 690K rwdata, 3684K rodata, 704K init, 516K bss, 58128K reserved, 524288K cma-reserved)
[ 0.000000] rcu: Hierarchical RCU implementation.
[ 0.000000] rcu: RCU event tracing is enabled.
[ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[ 0.000000] GIC: Using split EOI/Deactivate mode
[ 0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x42c with crng_init=0
[ 0.000000] arch_timer: cp15 timer(s) running at 100.00MHz (phys).
[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 440795205315 ns
[ 0.000004] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
[ 0.008477] Console: colour dummy device 80x25
[ 0.012485] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=400000)
[ 0.022841] pid_max: default: 32768 minimum: 301
[ 0.027603] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[ 0.034787] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[ 0.043877] ASID allocator initialised with 32768 entries
[ 0.047996] rcu: Hierarchical SRCU implementation.
[ 0.052945] EFI services will not be available.
[ 0.057348] smp: Bringing up secondary CPUs ...
[ 0.062085] Detected VIPT I-cache on CPU1
[ 0.062132] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[ 0.062534] Detected VIPT I-cache on CPU2
[ 0.062555] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[ 0.062915] Detected VIPT I-cache on CPU3
[ 0.062934] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[ 0.062983] smp: Brought up 1 node, 4 CPUs
[ 0.097142] SMP: Total of 4 processors activated.
[ 0.101814] CPU features: detected: 32-bit EL0 Support
[ 0.106918] CPU features: detected: CRC32 instructions
[ 0.112057] CPU: All CPU(s) started at EL2
[ 0.116100] alternatives: patching kernel code
[ 0.121617] devtmpfs: initialized
[ 0.130533] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[ 0.134632] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[ 0.156704] xor: measuring software checksum speed
[ 0.193613] 8regs : 2375.000 MB/sec
[ 0.233639] 32regs : 2725.000 MB/sec
[ 0.273671] arm64_neon: 2365.000 MB/sec
[ 0.273712] xor: using function: 32regs (2725.000 MB/sec)
[ 0.277588] pinctrl core: initialized pinctrl subsystem
[ 0.283704] NET: Registered protocol family 16
[ 0.288744] DMA: preallocated 256 KiB pool for atomic allocations
[ 0.293266] audit: initializing netlink subsys (disabled)
[ 0.298683] audit: type=2000 audit(0.240:1): state=initialized audit_enabled=0 res=1
[ 0.306332] cpuidle: using governor menu
[ 0.310370] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[ 0.331383] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[ 0.332436] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[ 0.339106] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[ 0.345765] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[ 1.426856] DRBG: Continuing without Jitter RNG
[ 1.503594] raid6: neonx8 gen() 1547 MB/s
[ 1.571637] raid6: neonx8 xor() 1466 MB/s
[ 1.639721] raid6: neonx4 gen() 1490 MB/s
[ 1.707742] raid6: neonx4 xor() 1430 MB/s
[ 1.775836] raid6: neonx2 gen() 1135 MB/s
[ 1.843860] raid6: neonx2 xor() 1189 MB/s
[ 1.911942] raid6: neonx1 gen() 740 MB/s
[ 1.979957] raid6: neonx1 xor() 896 MB/s
[ 2.048017] raid6: int64x8 gen() 1166 MB/s
[ 2.116079] raid6: int64x8 xor() 763 MB/s
[ 2.184142] raid6: int64x4 gen() 985 MB/s
[ 2.252167] raid6: int64x4 xor() 740 MB/s
[ 2.320266] raid6: int64x2 gen() 684 MB/s
[ 2.388313] raid6: int64x2 xor() 600 MB/s
[ 2.456335] raid6: int64x1 gen() 452 MB/s
[ 2.524380] raid6: int64x1 xor() 460 MB/s
[ 2.524422] raid6: using algorithm neonx8 gen() 1547 MB/s
[ 2.528371] raid6: .... xor() 1466 MB/s, rmw enabled
[ 2.533301] raid6: using neon recovery algorithm
[ 2.538697] iommu: Default domain type: Translated
[ 2.542997] SCSI subsystem initialized
[ 2.546620] usbcore: registered new interface driver usbfs
[ 2.551928] usbcore: registered new interface driver hub
[ 2.557208] usbcore: registered new device driver usb
[ 2.562244] mc: Linux media interface: v0.10
[ 2.566449] videodev: Linux video capture interface: v2.00
[ 2.571900] pps_core: LinuxPPS API ver. 1 registered
[ 2.576811] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[ 2.585905] PTP clock support registered
[ 2.589797] EDAC MC: Ver: 3.0.0
[ 2.593353] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[ 2.601612] zynqmp-ipi-mbox mailbox@ff90000: Registered ZynqMP IPI mbox with TX/RX channels.
[ 2.609875] FPGA manager framework
[ 2.613288] Advanced Linux Sound Architecture Driver Initialized.
[ 2.619537] Bluetooth: Core ver 2.22
[ 2.622757] NET: Registered protocol family 31
[ 2.627155] Bluetooth: HCI device and connection manager initialized
[ 2.633473] Bluetooth: HCI socket layer initialized
[ 2.638314] Bluetooth: L2CAP socket layer initialized
[ 2.643337] Bluetooth: SCO socket layer initialized
[ 2.648595] clocksource: Switched to clocksource arch_sys_counter
[ 2.654371] VFS: Disk quotas dquot_6.6.0
[ 2.658176] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[ 2.669267] NET: Registered protocol family 2
[ 2.669730] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
[ 2.677788] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
[ 2.685735] TCP bind hash table entries: 16384 (order: 6, 262144 bytes, linear)
[ 2.693234] TCP: Hash tables configured (established 16384 bind 16384)
[ 2.699490] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
[ 2.706085] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
[ 2.713272] NET: Registered protocol family 1
[ 2.717797] RPC: Registered named UNIX socket transport module.
[ 2.723350] RPC: Registered udp transport module.
[ 2.728018] RPC: Registered tcp transport module.
[ 2.732686] RPC: Registered tcp NFSv4.1 backchannel transport module.
[ 2.739353] PCI: CLS 0 bytes, default 64
[ 2.743567] hw perfevents: no interrupt-affinity property for /pmu, guessing.
[ 2.750254] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[ 2.758755] Initialise system trusted keyrings
[ 2.762293] workingset: timestamp_bits=46 max_order=19 bucket_order=0
[ 2.769424] NFS: Registering the id_resolver key type
[ 2.773617] Key type id_resolver registered
[ 2.777751] Key type id_legacy registered
[ 2.781738] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[ 2.788408] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
[ 2.807599] NET: Registered protocol family 38
[ 2.807646] Key type asymmetric registered
[ 2.810470] Asymmetric key parser 'x509' registered
[ 2.815334] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[ 2.822665] io scheduler mq-deadline registered
[ 2.827161] io scheduler kyber registered
[ 2.831871] GPIO IRQ not connected
[ 2.834525] XGpio: gpio@a0040000: registered, base is 504
[ 2.841652] xilinx-frmbuf a0000000.v_frmbuf_wr: Xilinx AXI frmbuf DMA_DEV_TO_MEM
[ 2.847293] xilinx-frmbuf a0000000.v_frmbuf_wr: Xilinx AXI FrameBuffer Engine Driver Probed!!
[ 2.855817] xilinx-frmbuf a00c0000.v_frmbuf_rd: Xilinx AXI frmbuf DMA_MEM_TO_DEV
[ 2.863114] xilinx-frmbuf a00c0000.v_frmbuf_rd: Xilinx AXI FrameBuffer Engine Driver Probed!!
[ 2.898207] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[ 2.903144] cacheinfo: Unable to detect cache hierarchy for CPU 0
[ 2.909889] brd: module loaded
[ 2.915569] loop: module loaded
[ 2.916543] mtdoops: mtd device (mtddev=name/number) must be supplied
[ 2.920843] libphy: Fixed MDIO Bus: probed
[ 2.924544] tun: Universal TUN/TAP device driver, 1.6
[ 2.928687] CAN device driver interface
[ 2.933322] usbcore: registered new interface driver asix
[ 2.937798] usbcore: registered new interface driver ax88179_178a
[ 2.943810] usbcore: registered new interface driver cdc_ether
[ 2.949603] usbcore: registered new interface driver net1080
[ 2.955229] usbcore: registered new interface driver cdc_subset
[ 2.961110] usbcore: registered new interface driver zaurus
[ 2.966658] usbcore: registered new interface driver cdc_ncm
[ 2.973187] usbcore: registered new interface driver uas
[ 2.977559] usbcore: registered new interface driver usb-storage
[ 2.983682] gadgetfs: USB Gadget filesystem, version 24 Aug 2004
[ 2.989991] rtc_zynqmp ffa60000.rtc: registered as rtc0
[ 2.994721] i2c /dev entries driver
[ 2.998724] xilinx-video amba_pl@0:vcap_csi: device registered
[ 3.004453] xilinx-csi2rxss a0020000.mipi_csi2_rx_subsystem: Xilinx CSI2 Rx Subsystem device found!
[ 3.012913] xilinx-video amba_pl@0:vcap_csi: Entity type for entity a0020000.mipi_csi2_rx_subsystem was not initialized!
[ 3.024676] xilinx-tpg a0050000.v_tpg: invalid number of ports 0
[ 3.029691] xilinx-tpg: probe of a0050000.v_tpg failed with error -22
[ 3.036314] xilinx-vpss-scaler a0080000.v_proc_ss: xlnx,v-vpss-scaler-2.2 - compatible string is getting deprecated!
[ 3.046619] xilinx-video amba_pl@0:vcap_csi: Entity type for entity a0080000.v_proc_ss was not initialized!
[ 3.056244] xilinx-vpss-scaler a0080000.v_proc_ss: Num Hori Taps 8
[ 3.062384] xilinx-vpss-scaler a0080000.v_proc_ss: Num Vert Taps 8
[ 3.068524] xilinx-vpss-scaler a0080000.v_proc_ss: VPSS Scaler Probe Successful
[ 3.075925] xilinx-vtc a0060000.v_tc: device found, version 6.020
[ 3.081911] usbcore: registered new interface driver uvcvideo
[ 3.087552] USB Video Class driver (1.1.1)
[ 3.092307] Bluetooth: HCI UART driver ver 2.3
[ 3.096034] Bluetooth: HCI UART protocol H4 registered
[ 3.101133] Bluetooth: HCI UART protocol BCSP registered
[ 3.106425] Bluetooth: HCI UART protocol LL registered
[ 3.111513] Bluetooth: HCI UART protocol ATH3K registered
[ 3.116888] Bluetooth: HCI UART protocol Three-wire (H5) registered
[ 3.123141] Bluetooth: HCI UART protocol Intel registered
[ 3.128480] Bluetooth: HCI UART protocol QCA registered
[ 3.133682] usbcore: registered new interface driver bcm203x
[ 3.139319] usbcore: registered new interface driver bpa10x
[ 3.144841] usbcore: registered new interface driver bfusb
[ 3.150294] usbcore: registered new interface driver btusb
[ 3.155756] usbcore: registered new interface driver ath3k
[ 3.161282] EDAC MC: ECC not enabled
[ 3.164879] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[ 3.177344] sdhci: Secure Digital Host Controller Interface driver
[ 3.182962] sdhci: Copyright(c) Pierre Ossman
[ 3.187285] sdhci-pltfm: SDHCI platform and OF driver helper
[ 3.193359] ledtrig-cpu: registered to indicate activity on CPUs
[ 3.198929] zynqmp_firmware_probe Platform Management API v1.1
[ 3.204676] zynqmp_firmware_probe Trustzone version v1.0
[ 3.213574] zynqmp-pinctrl firmware:zynqmp-firmware:pinctrl: zynqmp pinctrl initialized
[ 3.242291] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[ 3.242542] zynqmp_aes zynqmp_aes: AES Successfully Registered
[ 3.242542]
[ 3.249801] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[ 3.255998] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[ 3.261576] usbcore: registered new interface driver usbhid
[ 3.266793] usbhid: USB HID core driver
[ 3.270835] xlnk xlnk: Major 243
[ 3.273922] xlnk xlnk: xlnk driver loaded
[ 3.277779] xlnk xlnk: xlnk_pdev is not null
[ 3.284511] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[ 3.288791] usbcore: registered new interface driver snd-usb-audio
[ 3.295564] pktgen: Packet Generator for packet performance testing. Version: 2.75
[ 3.302789] Initializing XFRM netlink socket
[ 3.306424] NET: Registered protocol family 10
[ 3.311234] Segment Routing with IPv6
[ 3.314497] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[ 3.320658] NET: Registered protocol family 17
[ 3.324671] NET: Registered protocol family 15
[ 3.329088] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[ 3.341958] can: controller area network core (rev 20170425 abi 9)
[ 3.348134] NET: Registered protocol family 29
[ 3.352514] can: raw protocol (rev 20170425)
[ 3.356750] can: broadcast manager protocol (rev 20170425 t)
[ 3.362374] can: netlink gateway (rev 20190810) max_hops=1
[ 3.367947] Bluetooth: RFCOMM TTY layer initialized
[ 3.372673] Bluetooth: RFCOMM socket layer initialized
[ 3.377785] Bluetooth: RFCOMM ver 1.11
[ 3.381501] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[ 3.386764] Bluetooth: BNEP filters: protocol multicast
[ 3.391955] Bluetooth: BNEP socket layer initialized
[ 3.396883] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[ 3.402768] Bluetooth: HIDP socket layer initialized
[ 3.407854] 9pnet: Installing 9P2000 support
[ 3.411965] Key type dns_resolver registered
[ 3.416546] registered taskstats version 1
[ 3.420246] Loading compiled-in X.509 certificates
[ 3.425466] Btrfs loaded, crc32c=crc32c-generic
[ 3.440670] ff000000.serial: ttyPS1 at MMIO 0xff000000 (irq = 40, base_baud = 6249999) is a xuartps
� 3.444659] f�&�S'��Srial: ɥ�ѭ���ͽ�������AM���*������5R��r��²����ɥ�ѭ���ͽ�������AM���*������5R��r���ʒ���ɥ�ѭ���э��ͽ���ڍ������"�ͅ����5R��r���ʒ���ɥ�ѭ���э��ͽ���ڍ������"�ͅ����5R��r�����z��2�����������2����2ձ��2u*
�U�V��
��KVVH�[ 3.483013] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[ 3.489634] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[ 3.496753] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[ 3.503870] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[ 3.510986] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[ 3.518108] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[ 3.525224] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[ 3.532335] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[ 3.539450] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[ 3.546638] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[ 3.553752] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[ 3.560871] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[ 3.567988] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[ 3.575107] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[ 3.582221] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[ 3.589339] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[ 3.596456] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[ 3.603820] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[ 3.615673] zynqmp_clk_divider_set_rate() set divider failed for spi1_ref_div1, ret = -13
[ 3.624499] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[ 3.635215] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[ 3.643271] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[ 3.651846] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 3.664403] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 3.677142] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[ 3.687327] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[ 3.694353] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[ 3.700962] [drm] No driver support for vblank timestamp query.
[ 3.706976] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffffc010cd78f0)
[ 3.887698] Console: switching to colour frame buffer device 240x67
[ 3.910621] zynqmp-display fd4a0000.zynqmp-display: fb0: xlnxdrmfb frame buffer device
[ 3.918962] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[ 3.927091] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[ 3.937694] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[ 3.944252] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[ 3.950757] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[ 3.957255] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[ 3.964285] dwc3 fe200000.dwc3: Failed to get clk 'ref': -2
[ 3.970093] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes
[ 3.981591] dwc3 fe300000.dwc3: Failed to get clk 'ref': -2
[ 3.987376] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:1 protocol:3 pll_locked:yes
[ 3.997631] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 4.003128] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[ 4.010925] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000202010010
[ 4.020336] xhci-hcd xhci-hcd.0.auto: irq 55, io mem 0xfe300000
[ 4.026564] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04
[ 4.034831] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 4.042054] usb usb1: Product: xHCI Host Controller
[ 4.046931] usb usb1: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
[ 4.053541] usb usb1: SerialNumber: xhci-hcd.0.auto
[ 4.058774] hub 1-0:1.0: USB hub found
[ 4.062552] hub 1-0:1.0: 1 port detected
[ 4.066679] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 4.072167] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[ 4.079831] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed
[ 4.086410] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
[ 4.094580] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04
[ 4.102846] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 4.110065] usb usb2: Product: xHCI Host Controller
[ 4.114937] usb usb2: Manufacturer: Linux 5.4.0-xilinx-v2020.1 xhci-hcd
[ 4.121541] usb usb2: SerialNumber: xhci-hcd.0.auto
[ 4.126675] hub 2-0:1.0: USB hub found
[ 4.130440] hub 2-0:1.0: 1 port detected
[ 4.136226] i2c i2c-0: Added multiplexed i2c bus 2
[ 4.141156] i2c i2c-0: Added multiplexed i2c bus 3
[ 4.146207] i2c i2c-0: Added multiplexed i2c bus 4
[ 4.151141] i2c i2c-0: Added multiplexed i2c bus 5
[ 4.156626] tps65086 6-005e: Failed to read revision register
[ 4.199630] random: fast init done
[ 4.400602] usb 1-1: new high-speed USB device number 2 using xhci-hcd
[ 4.553089] usb 1-1: New USB device found, idVendor=0424, idProduct=2744, bcdDevice= 2.05
[ 4.561281] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 4.568407] usb 1-1: Product: USB2744
[ 4.572059] usb 1-1: Manufacturer: Microchip Tech
[ 4.630497] hub 1-1:1.0: USB hub found
[ 4.634286] hub 1-1:1.0: 4 ports detected
[ 4.694455] usb 2-1: new SuperSpeed Gen 1 USB device number 2 using xhci-hcd
[ 4.720981] usb 2-1: New USB device found, idVendor=0424, idProduct=5744, bcdDevice= 2.05
[ 4.729165] usb 2-1: New USB device strings: Mfr=2, Product=3, SerialNumber=0
[ 4.736295] usb 2-1: Product: USB5744
[ 4.739947] usb 2-1: Manufacturer: Microchip Tech
[ 4.774481] hub 2-1:1.0: USB hub found
[ 4.778285] hub 2-1:1.0: 3 ports detected
[ 4.988595] usb 1-1.1: new high-speed USB device number 3 using xhci-hcd
[ 5.012081] i2c i2c-0: Added multiplexed i2c bus 6
[ 5.017564] ina2xx_adc 7-0040: error configuring the device
[ 5.023186] i2c i2c-0: Added multiplexed i2c bus 7
[ 5.028125] i2c i2c-0: Added multiplexed i2c bus 8
[ 5.033052] i2c i2c-0: Added multiplexed i2c bus 9
[ 5.037849] pca954x 0-0075: registered 8 multiplexed busses for I2C switch pca9548
[ 5.045458] cdns-i2c ff030000.i2c: 100 kHz mmio ff030000 irq 30
[ 5.052359] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[ 5.059842] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[ 5.099856] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[ 5.108336] sdhci-arasan ff170000.mmc: allocated mmc-pwrseq
[ 5.120382] usb 1-1.1: New USB device found, idVendor=0b95, idProduct=1780, bcdDevice= 0.01
[ 5.128741] usb 1-1.1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
[ 5.136046] usb 1-1.1: Product: GU-1000T
[ 5.139965] usb 1-1.1: Manufacturer: PLANEX COM. Inc.
[ 5.145012] usb 1-1.1: SerialNumber: 020707
[ 5.161927] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[ 5.173609] input: gpio-keys as /devices/platform/gpio-keys/input/input0
[ 5.180803] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-01-01T00:00:07 UTC (7)
[ 5.188889] of_cfs_init
[ 5.191364] of_cfs_init: OK
[ 5.194354] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[ 5.195149] mmc0: new high speed SDHC card at address aaaa
[ 5.208389] mmcblk0: mmc0:aaaa SB32G 29.7 GiB
[ 5.216189] mmc1: new high speed SDIO card at address 0001
[ 5.221898] mmcblk0: p1 p2
[ 5.341377] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[ 5.347907] clk: Not disabling unused clocks
[ 5.352176] ALSA device list:
[ 5.355134] #0: DisplayPort monitor
[ 5.359288] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[ 5.367902] cfg80211: failed to load regulatory.db
[ 5.557102] asix 1-1.1:1.0 eth0: register 'asix' at usb-xhci-hcd.0.auto-1.1, ASIX AX88178 USB 2.0 Ethernet, 00:22:cf:00:0c:37
[ 5.594351] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
[ 5.602481] VFS: Mounted root (ext4 filesystem) on device 179:2.
[ 5.618313] devtmpfs: mounted
[ 5.621589] Freeing unused kernel memory: 704K
[ 5.626110] Run /sbin/init as init process
[ 5.648617] usb 1-1.4: new high-speed USB device number 4 using xhci-hcd
INIT: version 2.88 booting[ 5.753329] usb 1-1.4: New USB device found, idVendor=0424, idProduct=2740, bcdDevice= 2.00
[ 5.761690] usb 1-1.4: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 5.768994] usb 1-1.4: Product: Hub Controller
[ 5.773428] usb 1-1.4: Manufacturer: Microchip Tech
Starting udev
[ 6.198059] udevd[163]: starting version 3.2.8
[ 6.215632] random: udevd: uninitialized urandom read (16 bytes read)
[ 6.222587] random: udevd: uninitialized urandom read (16 bytes read)
[ 6.229094] random: udevd: uninitialized urandom read (16 bytes read)
[ 6.288268] udevd[164]: starting eudev-3.2.8
[ 6.457111] mali: loading out-of-tree module taints kernel.
[ 6.470184] AP1302 4-003c: Probe: AP1302
[ 6.474207] debugfs: Directory '4-003c' with parent 'regmap' already present!
[ 6.512784] zynqmp_r5_remoteproc zynqmp-rpu: RPU core_conf: split
[ 6.519260] remoteproc remoteproc0: r5@0 is available
[ 6.583567] AP1302 4-003c: AP1302 Chip ID is 0x265
[ 6.589603] AP1302 4-003c: AP1302 Chip Rev is 0x206
[ 6.594560] AP1302 4-003c: Start to load firmware.
[ 7.136702] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
[ 12.316602] random: crng init done
[ 12.319998] random: 4 urandom warning(s) missed due to ratelimiting
[ 13.109451] AP1302 4-003c: crc does not match. T:0xF80F F:0x1A5A
[ 13.153438] AP1302 4-003c: AP1302 Chip ID is 0x265
[ 13.159068] AP1302 4-003c: AP1302 Chip Rev is 0x206
[ 13.163944] AP1302 4-003c: Start to load firmware.
[ 19.666158] AP1302 4-003c: Load firmware successfully.
[ 19.672797] AP1302 4-003c: AP1302 probe Success Done
[ 19.751379] EXT4-fs (mmcblk0p2): re-mounted. Opts: (null)
Mon Nov 9 19:43:06 UTC 2020
Starting Ultra96 AP setup daemon.
Turning Ultra96 WiFi & Bluetooth LEDs ON...done.
[ 21.273086] wifi_pm : 0
[ 21.275529] wifi_pm : 1
Starting Flask server deamon to serve Ultra96 startup page[ 21.279398] wilc_sdio mmc1:0001:1: Driver Initializing success
[ 21.293084] WILC POWER UP
[ 21.295739] wilc_sdio mmc1:0001:1: SDIO speed: 50000000
[ 21.301274] wilc_sdio mmc1:0001:1: chipid 003000d0
.
INIT: Entering runlevel: 5
Starting system message bus: dbus.
Configuring network interfaces... Successfully initialized wpa_supplicant
done.
Starting Connection Manager
Starting haveged: haveged: listening socket at 3
haveged: haveged starting up
Starting Dropbear SSH server: dropbear.
Starting rpcbind daemon...done.
starting statd: haveged: haveged: ver: 1.9.5; arch: generic; vend: ; build: (gcc 9.2.0 CTV); collect: 128K
haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15456/64452
haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B): last entropy estimate 7.99855
haveged: haveged: fills: 0, generated: 0
done
Starting bluetooth: bluetoothd.
Starting internet superserver: inetd.
exportfs: can't open /etc/exports for reading
NFS daemon support not enabled in kernel
Starting ntpd: done
Starting syslogd/klogd: done
Starting internet superserver: xinetd.
* Starting Avahi mDNS/DNS-SD Daemon: avahi-daemon [ ok ]
Starting Telephony daemon
Starting watchdog daemon...done
Starting Linux NFC daemon
Starting tcf-agent: OK
PetaLinux 2020.1 ultra96v2-2020-1 ttyPS0
ultra96v2-2020-1 login: [ 26.489108] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
1 | 2 | 3 | 4 | 5 | 6 | 7 |
8 | 9 | 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 | 19 | 20 | 21 |
22 | 23 | 24 | 25 | 26 | 27 | 28 |
29 | 30 | - | - | - | - | - |