module XPower_test(
input wire clk,
input wire reset,
input wire code_in,
output wire code_out,
output reg [199:0] test_out
);
parameter num_of_d_ff = 10000;
parameter k_val = num_of_d_ff/200;
reg [num_of_d_ff-1 :0] d_ff;
integer i,j;
always @(posedge clk) begin
if (reset)
d_ff <= 0;
else begin
for (i=0; i<num_of_d_ff; i=i+1) begin
if (i==0)
d_ff[0] <= code_in;
else
d_ff[i] <= d_ff[i-1];
end
end
end
assign code_out = d_ff[num_of_d_ff-1];
always @(posedge clk) begin
for (j=0; j<200; j=j+1) begin
test_out[j] <= d_ff[j*k_val];
end
end
endmodule
Design Information
------------------
Command Line : map -ise H:/HDL/FndtnISEWork/test/XPower_test/XPower_test.ise
-intstyle ise -p xc4vfx12-sf363-10 -cm area -pr off -k 4 -c 100 -o
XPower_test_map.ncd XPower_test.ngd XPower_test.pcf
Target Device : xc4vfx12
Target Package : sf363
Target Speed : -10
Mapper Version : virtex4 -- $Revision: 1.46.12.2 $
Mapped Date : SAT 7 FEB 21:38:30 2009
Design Summary
--------------
Number of errors: 0
Number of warnings: 0
Logic Utilization:
Number of Slice Flip Flops: 10,200 out of 10,944 93%
Number of 4 input LUTs: 20 out of 10,944 1%
Logic Distribution:
Number of occupied Slices: 5,151 out of 5,472 94%
Number of Slices containing only related logic: 5,151 out of 5,151 100%
Number of Slices containing unrelated logic: 0 out of 5,151 0%
*See NOTES below for an explanation of the effects of unrelated logic.
Total Number of 4 input LUTs: 20 out of 10,944 1%
Number of bonded IOBs: 204 out of 240 85%
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number used as BUFGs: 1
Peak Memory Usage: 237 MB
Total REAL time to MAP completion: 13 secs
Total CPU time to MAP completion: 12 secs
NET "clk" TNM_NET = clk;
TIMESPEC TS_clk = PERIOD "clk" 3.333 ns HIGH 50%;
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |