entity switch_unit is
generic(
switch_unit_id : in integer := 0
);
port(
clk, reset : in std_logic;
recv_data_ar : in recv_data_array; -- 読み出しポートアレイ
recv_re : out std_logic_vector(7 downto 0); -- 読み出しポートFIFOのイネーブル信号
ch0_rcapacity_ar : in rcapacity_array;
ch1_rcapacity_ar : in rcapacity_array;
ch0_wcapacity_ar : in wcapacity_array;
ch1_wcapacity_ar : in wcapacity_array;
send_data_war : out send_data_array_suout; -- 書き込みポートアレイ
send_we : out std_logic_vector(NUMBER_OF_OUTPUT_PORT-1 downto 0);
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package swcontroller_pkg is
constant NUMBER_OF_SWITCH_UNIT : integer := 9; -- ここを変更する
constant NUMBER_OF_OUTPUT_PORT : integer := 8/(NUMBER_OF_SWITCH_UNIT-1);
type recv_data_array is array (7 downto 0) of std_logic_vector(63 downto 0);
type send_data_array is array (8 downto 0) of std_logic_vector(63 downto 0);
type send_data_array_suout is array (NUMBER_OF_OUTPUT_PORT-1 downto 0) of std_logic_vector(63 downto 0);
type rcapacity_array is array (7 downto 0) of std_logic_vector(7 downto 0);
type wcapacity_array is array (8 downto 0) of std_logic_vector(5 downto 0);
type wcapacity_array_suout is array (NUMBER_OF_OUTPUT_PORT-1 downto 0) of std_logic_vector(5 downto 0);
end swcontroller_pkg;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library work;
use work.swcontroller_pkg.all;
entity switch_unit is
generic(
switch_unit_id : in integer := 0
);
port(
clk, reset : in std_logic;
recv_data_ar : in recv_data_array; -- 読み出しポートアレイ
recv_re : out std_logic_vector(7 downto 0); -- 読み出しポートFIFOのイネーブル信号
ch0_rcapacity_ar : in rcapacity_array;
ch1_rcapacity_ar : in rcapacity_array;
ch0_wcapacity_ar : in wcapacity_array;
ch1_wcapacity_ar : in wcapacity_array;
send_data_war : out send_data_array_suout; -- 書き込みポートアレイ
send_we : out std_logic_vector(NUMBER_OF_OUTPUT_PORT-1 downto 0);
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
1 | 2 | 3 | 4 | 5 | 6 | 7 |
8 | 9 | 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 | 19 | 20 | 21 |
22 | 23 | 24 | 25 | 26 | 27 | 28 |
29 | 30 | 31 | - | - | - | - |