entity CamDispCntrl_SRAM is
port (
clk : in std_logic; -- 水晶発振器からの48MHzクロック
cam_vsync : in std_logic; -- CMOSカメラからのVSYNC
cam_href : in std_logic; -- CMOSカメラからのHREF
cam_pclk : in std_logic; -- CMOSカメラからのpclk
cam_ydata : in std_logic_vector(7 downto 0); -- CMOSカメラからのYデータ
-- cam_sio_c : out std_logic; -- CMOSカメラのI2Cクロック
-- cam_sio_d : inout std_logic; -- CMOSカメラのI2Cデータ
cam_clk : out std_logic; -- CMOSカメラのクロック
n_cam_reset : out std_logic; -- CMOSカメラのリセット(Lでリセットの予定)
dac_red : out std_logic_vector(7 downto 0); -- DACへのRED出力
dac_green : out std_logic_vector(7 downto 0); -- DACへのGREEN出力
dac_blue : out std_logic_vector(7 downto 0); -- DACへのBLUE出力
n_dac_blank : out std_logic; -- DACへのアクティブローBLANK
dac_clk : out std_logic; -- DACクロック(24MHz)
vga_vsync : out std_logic; -- VGAのVSYNC
vga_hsync : out std_logic; -- VGAのHSYNC
mem_data : inout std_logic_vector(15 downto 0); -- SRAMのmemory data
n_mem_we : out std_logic; -- SRAMのmemory write enable
n_mem_rd : out std_logic; -- SRAMのmemory read enable
n_mem_cs0 : out std_logic; -- SRAMのchip select 0
n_mem_cs1 : out std_logic; -- SRAMのchip select 1
n_mem_cs2 : out std_logic; -- SRAMのchip select 2
n_mem_cs3 : out std_logic; -- SRAMのchip select 3
n_mem_lowerB : out std_logic; -- SRAMのmemory lower byte enable
n_mem_upperB : out std_logic; -- SRAMのmemory upper byte enable
mem_addr : out std_logic_vector(17 downto 0) -- SRAMのmemory address
);
end CamDispCntrl_SRAM;
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |