-- SLC, SDA を遅延させる
process(clk) begin
if clk'event and clk='1' then
if reset='1' then
SDA_Delay <= (others => '1');
SDA_ena_Delay <= (others => '1');
SCL_Delay <= '1';
else
SDA_Delay(2) <= SDA_shift_reg(8);
SDA_Delay(1) <= SDA_Delay(2);
SDA_Delay(0) <= SDA_Delay(1);
SDA_ena_Delay(1) <= SDA_enable;
SDA_ena_Delay(0) <= SDA_ena_Delay(1);
SCL_Delay <= SCL_shift_reg(17);
end if;
end if;
end process;
SRL16E_SDA : SRL16E generic map(
INIT => X"0000")
port map(
Q => SDA_Delay_SRL16,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => clk,
D => SDA_Delay(0)
);
SRL16E_SDA_ena : SRL16E generic map(
INIT => X"0000")
port map(
Q => SDA_ena_Delay_SR16,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => clk,
D => SDA_ena_Delay(0)
);
SDA <= SDA_Delay_SRL16 when SDA_ena_Delay_SR16='0' else 'Z';
SCL <= SCL_Delay;
NET "dvi_d[0]" IOSTANDARD = LVDCI_33;
NET "dvi_d[1]" IOSTANDARD = LVDCI_33;
NET "dvi_d[2]" IOSTANDARD = LVDCI_33;
NET "dvi_d[3]" IOSTANDARD = LVDCI_33;
NET "dvi_d[4]" IOSTANDARD = LVDCI_33;
NET "dvi_d[5]" IOSTANDARD = LVDCI_33;
NET "dvi_d[6]" IOSTANDARD = LVDCI_33;
NET "dvi_d[7]" IOSTANDARD = LVDCI_33;
NET "dvi_d[8]" IOSTANDARD = LVDCI_33;
NET "dvi_d[9]" IOSTANDARD = LVDCI_33;
NET "dvi_d[10]" IOSTANDARD = LVDCI_33;
NET "dvi_d[11]" IOSTANDARD = LVDCI_33;
NET "dvi_de" IOSTANDARD = LVCMOS33;
NET "dvi_hsync" IOSTANDARD = LVDCI_33;
NET "dvi_reset_b" IOSTANDARD = LVCMOS33;
NET "dvi_vsync" IOSTANDARD = LVDCI_33;
NET "dvi_xclk_n" IOSTANDARD = LVCMOS33;
NET "dvi_xclk_p" IOSTANDARD = LVCMOS33;
NET "dvi_xclk_n" DRIVE = 24;
NET "dvi_xclk_p" DRIVE = 24;
NET "dvi_xclk_n" SLEW = FAST;
NET "dvi_xclk_p" SLEW = FAST;
NET "reset_sw" IOSTANDARD = LVCMOS33;
NET "dvi_scl" LOC = U27;
NET "dvi_sda" LOC = T29;
NET "dvi_scl" IOSTANDARD = LVCMOS18;
NET "dvi_sda" IOSTANDARD = LVCMOS18;
NET "dvi_scl" SLEW = FAST;
NET "dvi_sda" SLEW = FAST;
NET "dvi_scl" DRIVE = 24;
NET "dvi_sda" DRIVE = 24;
NET "dvi_scl" TIG;
NET "dvi_sda" TIG;
NET "dvi_scl" PULLUP;
NET "dvi_sda" PULLUP;
INST "dvi_de" IOB = FORCE;
INST "dvi_hsync" IOB = FORCE;
INST "dvi_vsync" IOB = FORCE;
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |