FC2カウンター FPGAの部屋 ZedBoardにビットマップ・ディスプレイ・コントローラを追加する12(char_wirte_axi_master IPを追加3)
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

ZedBoardにビットマップ・ディスプレイ・コントローラを追加する12(char_wirte_axi_master IPを追加3)

ZedBoardにビットマップ・ディスプレイ・コントローラを追加する11(char_wirte_axi_master IPを追加2)”の続き。

前回、DDR3 SDRAMのフレーム・バッファにキャラクタを書き込むchar_write_axi_master IPの単体シミュレーションが出来たので、XPSプロジェクトにAdd IPした。S_AXI_HP0に接続した。
ZedBoad_BitMap_DispCnt_95_121016.png

ACLKに100MHzのPS部からのクロックを接続し、bitmap_disp_cntrler_axi_master_v2_1_0.mpdに書いてあるすべてのパラメータをchar_write_axi_master.vhd のgeneric に書く必要があったが、そこをクリアすれば、素直に論理合成、インプリメントすることが出きた。
今まで同様にハードウェアをSDKにエクスポートして、SDKを起動し、ビットマップ・ディスプレイ・コントローラをGPIOでONするところまで、ARMプロセッサのソフトウェアを走らせたが、画面は砂嵐のままだった。
ZedBoad_BitMap_DispCnt_77_121001.jpg

単体シミュレーションでは動いていたのだが、どこがおかしいのだろうか?
ChipScope を入れて確かめてみることにした。
  1. 2012年10月16日 15:53 |
  2. ZedBoard
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/2280-14079127
この記事にトラックバックする(FC2ブログユーザー)