FC2カウンター FPGAの部屋 VivadoでZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラ7(配置制約の生成)
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

VivadoでZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラ7(配置制約の生成)

VivadoでZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラ6(ブロック・デザインのデバック)”の続き。

前回、ブロック・デザインが終了して、ラッパー Verilog HDLファイルを作製した。今回は、論理合成し、出力端子の出力レベルと配置を制約して、インプリメント、ビットストリームの生成までを行う。

・Flow Navigaotr の Synthesis -> Run Synthesis をクリックして、論理合成を行う。
Vivado_ZYBO_CDC_axi_slave_159_140624.png

・論理合成が問題なく終了し、Synthesis Completed ダイアログが表示された。Open Synthesized Design のラジオボタンをクリックして、OKボタンをクリックした。
Vivado_ZYBO_CDC_axi_slave_160_140624.png

・Synthesized Design が開いた。ここで、出力端子の出力レベルと配置の制約を行う。
Vivado_ZYBO_CDC_axi_slave_161_140624.png

・Layout メニューから I/O Planning を選択した。
Vivado_ZYBO_CDC_axi_slave_162_140624.png

・I/Oの制約画面が開いた。ここで、blue_out, green_out, red_out, 同期信号の制約を行う。
Vivado_ZYBO_CDC_axi_slave_163_140624.png

・I/O Std に LVCOMS33 を設定して、Site にピン配置を指定した。
Vivado_ZYBO_CDC_axi_slave_164_140624.png

・全部の配置制約を入れたので、Save Constraionts アイコンをクリックして、セーブした。
Vivado_ZYBO_CDC_axi_slave_165_140624.png

・論理合成が古くなったという表示が出た。OKボタンをクリックした。
Vivado_ZYBO_CDC_axi_slave_166_140624.png

・Save Constraints ダイアログが表示された。File type にXDCが指定されていた。OKボタンをクリックした。
Vivado_ZYBO_CDC_axi_slave_167_140624.png

・cdc_vga141.xdc が生成された。
Vivado_ZYBO_CDC_axi_slave_168_140624.png

下に生成された cdc_vga141.xdc を示す。

set_property IOSTANDARD LVCMOS33 [get_ports {blue_out[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue_out[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue_out[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue_out[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {blue_out[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {green_out[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red_out[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red_out[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red_out[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red_out[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {red_out[0]}]
set_property PACKAGE_PIN G19 [get_ports {blue_out[4]}]
set_property PACKAGE_PIN J18 [get_ports {blue_out[3]}]
set_property PACKAGE_PIN K19 [get_ports {blue_out[2]}]
set_property PACKAGE_PIN M20 [get_ports {blue_out[1]}]
set_property PACKAGE_PIN P20 [get_ports {blue_out[0]}]
set_property PACKAGE_PIN F20 [get_ports {green_out[5]}]
set_property PACKAGE_PIN H20 [get_ports {green_out[4]}]
set_property PACKAGE_PIN J19 [get_ports {green_out[3]}]
set_property PACKAGE_PIN L19 [get_ports {green_out[2]}]
set_property PACKAGE_PIN N20 [get_ports {green_out[1]}]
set_property PACKAGE_PIN H18 [get_ports {green_out[0]}]
set_property PACKAGE_PIN F19 [get_ports {red_out[4]}]
set_property PACKAGE_PIN G20 [get_ports {red_out[3]}]
set_property PACKAGE_PIN J20 [get_ports {red_out[2]}]
set_property PACKAGE_PIN L20 [get_ports {red_out[1]}]
set_property PACKAGE_PIN M19 [get_ports {red_out[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports hsync_n]
set_property IOSTANDARD LVCMOS33 [get_ports vsync_n]
set_property PACKAGE_PIN P19 [get_ports hsync_n]
set_property PACKAGE_PIN R19 [get_ports vsync_n]


VivadoでZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラ8(インプリメント)”に続く。
  1. 2014年06月25日 04:49 |
  2. ZYBO
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/2851-1969eadf
この記事にトラックバックする(FC2ブログユーザー)