FC2カウンター FPGAの部屋 ZYBO用ビットマップ・ディスプレイ・コントローラの作製1(ブロック・デザイン1)
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

ZYBO用ビットマップ・ディスプレイ・コントローラの作製1(ブロック・デザイン1)

ZYBO用ビットマップ・ディスプレイ・コントローラIP4(IP化)”で、ZYBO用ビットマップ・ディスプレイ・コントローラのIP化が完成した。
次は、ZYBO用ビットマップ・ディスプレイ・コントローラIPを使用して、ZYBOのビットマップ・ディスプレイ・コントローラを作製する。まずは、IP Integrator を使用して、ブロック・デザインを作製する。

・V_ZYBO_BMDC142 というVivado 2014.2 のプロジェクトを作製した。使用するZynqは、xc7z010clg400-1 だ。

・Vivado の Project Manager の IP Catalog をクリックした。

・IP Catalog 画面が表示された。右クリックして、右クリックメニューから IP Settings... を選択した。
ZYBO_Bitmap_DispC_36_140727.png

・Project Settings -> IP が開く。

・Add Repository... ボタンをクリックし、bm_dispc_wh_142 フォルダを選択して、bitmap_disp_cntrler_axi_master_v1_0 IP を追加した。
ZYBO_Bitmap_DispC_37_140727.png

・IP Catalog のBase IP に bitmap_disp_cntrler_axi_master_v1_0 IP を追加された。
ZYBO_Bitmap_DispC_38_140727.png

・左の Flow Navigator -> Project Manager -> Create Block Design をクリックして、新しいブロック・デザインを生成する。

・V_ZYBO_BMDCという名前のブロック・デザインを生成する
ZYBO_Bitmap_DispC_39_140727.png

・Diagramウインドウが開く。(ブロック・デザインの名前が1字違っているが無視して欲しい)

・Add IPをクリックして、IPコアをインポートする。

・IPのカタログが出てくるので、ZYNQ 7 Processing System をダブルクリックする。
ZYBO_Bitmap_DispC_40_140728.png

・ZYNQがインポートされた。

・Zynqをダブル・クリックして、プロパティを編集する。
ZYBO_Bitmap_DispC_41_140728.png

・ZYNQ7 Processing System (5.4) のRe-customize IP ダイアログが表示された。
ここでZYBOのWebサイトからダウンロードしたZYBOの設定ファイル (ZYBO_zynq_def.xml)を読み込む。Digilent社のZYBOサイトの”ZYBO Board Definition File for configuring the Zynq Processing System core in Xilinx Platform Studio and Vivado IP Integrator”のZIPの中に入っている。

・Import XPS Settingsをクリックし、ZYBO_zynq_def.xmlを指定して、OKボタンをクリックした。
ZYBO_Bitmap_DispC_42_140728.png

・Page Navigatgor から、Clock Configuration をクリックし、PL Fabric Clocks を展開すると、FCLK_CLK0が100MHzに設定されていた。

・FCLK_CLK1のチェックボックスにチェックを入れて、25MHzに設定した。
ZYBO_Bitmap_DispC_43_140728.png

・PS-PL Configuration をクリックして、HP Slave AXI Interface を展開し、S AXI HP0 Interface のチェックボックスにチェックを入れる。
ZYBO_Bitmap_DispC_44_140728.png

・OKボタンをクリックすると、Zynqのシンボルはこうなった。
ZYBO_Bitmap_DispC_45_140728.png

ZYBO用ビットマップ・ディスプレイ・コントローラの作製2(ブロック・デザイン2)”に続く。
  1. 2014年07月28日 05:19 |
  2. ZYBO
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/2886-94db4e9c
この記事にトラックバックする(FC2ブログユーザー)