FC2カウンター FPGAの部屋 並列ステレオカメラによる距離の測定4(ZYBO 0 のハードウェア3)
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

並列ステレオカメラによる距離の測定4(ZYBO 0 のハードウェア3)

並列ステレオカメラによる距離の測定3(ZYBO 0 のハードウェア2)”の続き。

前回は、bitmap_disp_cntrler_axi_master_1 をブロックデザインに追加し、制約ファイルをコピーして論理合成、インプリメント、ビットストリームの生成を行ったが、タイミング違反が出てしまった。
今回は、タイミング違反を確認して、タイミング違反がInter-Clock Path だったら、False Path を設定して、もう一度、インプリメント、ビットストリームの生成を行う。

まずは、Vivado 2015.3 の左端、Flow Navigator のImplementation -> Implemented Desgin をクリックして、Implemented Desgin 画面を起動する。

Flow Navigator のImplementation -> Implemented Desgin -> Report Timing Summary をクリックしてタイミング・レポートを出した。
StereoCam_30_151117.png

Inter-Clock Paths の BUFR_pixel_clk_io_0 、BUFR_pixel_clk_io_0_1 と clk_fpga_0 の間にタイミング違反があるとのことだ。
StereoCam_30_151117.png

このクロックは独立していて、依存関係は無いはずなので、Flow Navigator のImplementation -> Implemented Desgin -> Edit Timing Constraints をクリックしてタイミング制約を編集した。

Edit Timing Constraints ウインドウのException -> Set False Path を選択して、4つの制約を追加した。
StereoCam_31_151118.png

セーブはZYBO_0.xdc にセーブした。
StereoCam_32_151118.png

下に新たに作製した制約を貼っておく。

set_false_path -from [get_clocks [list [get_clocks -of_objects [get_pins ZYBO_0_i/bitmap_disp_cntrler_axi_master_0/inst/dvi_disp_i/BUFR_pixel_clk_io/O]]]] -to [get_clocks clk_fpga_0]
set_false_path -from [get_clocks [list [get_clocks -of_objects [get_pins ZYBO_0_i/bitmap_disp_cntrler_axi_master_1/inst/dvi_disp_i/BUFR_pixel_clk_io/O]]]] -to [get_clocks clk_fpga_0]
set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks [list [get_clocks -of_objects [get_pins ZYBO_0_i/bitmap_disp_cntrler_axi_master_0/inst/dvi_disp_i/BUFR_pixel_clk_io/O]]]]
set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks [list [get_clocks -of_objects [get_pins ZYBO_0_i/bitmap_disp_cntrler_axi_master_1/inst/dvi_disp_i/BUFR_pixel_clk_io/O]]]]


これで、もう一度、論理合成、インプリメント、ビットストリームの生成を行った。下の図は現在、論理合成中のVivado 2015.3 を示す。
StereoCam_33_151118.png

ビットストリームの生成まで終了した。
StereoCam_34_151118.png

Summary を表示した。タイミング違反は無くなった。
StereoCam_34_151118.png

ハードウェアをエクスポートして、SDKを立ち上げよう。

File メニューから Exprot -> Export Hardware... を選択した。

Export Hardware ダイアログが表示される。Include bitstream にチェックを入れて、OKボタンをクリックした。

File メニューから Launch SDKを選択した。

SDKが立ち上がった。bitmap_disp_cntrler_axi_master_1 も入っていた。
StereoCam_36_151118.png
  1. 2015年11月18日 04:35 |
  2. ZYBO
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/3312-6430d796
この記事にトラックバックする(FC2ブログユーザー)