FC2カウンター FPGAの部屋 MIPIボード用PMOD拡張ボードをテストする2(PMODテスト用IPの作成)
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

MIPIボード用PMOD拡張ボードをテストする2(PMODテスト用IPの作成)

MIPIボード用PMOD拡張ボードをテストする1”の続き。

前回は、MIPI ボード用PMOD 拡張ボードに部品を実装し、MIPI ボードの取り付けて、MIPI ボードの動作に変化が無いことを確かめた。今回は、PMOD 拡張ボードのPMOD の動作を確認するためにPMOD テスト用IP を作成し、Vivado に持っていって論理合成を行った。

まずは、PMOD テスト用のIP だが、Vivado HLS 2018.2 を使用して作成したものがすでにある。
Ultra96用PMOD拡張ボードのPMOD端子のテストのために8ビットカウンタのLチカを作る”で作成してあるが、Windows 10 で作ってあったので、今回、Ubuntu 18.04 で作成してみよう。

Vivado HLS 2018.2 を起動して、ex_board_test プロジェクトを作成した。なお、動作周波数は 1 MHz だ。
PMOD_B4MIPI_B_test_8_190524.png

C シミュレーションを実行した。問題なさそうだ。
PMOD_B4MIPI_B_test_9_190524.png

C コードの合成を行った。結果を示す。
PMOD_B4MIPI_B_test_10_190524.png

Export RTL を行った。なお、Vivado synthesis, place and route にチェックを入れてある。
PMOD_B4MIPI_B_test_11_190524.png

ex_board_test/solution1/ipml/ip ディレクトリに xilinx_com_hls_ex_board_test_1_0.zip ファイルが生成された。
PMOD_B4MIPI_B_test_12_190524.png

Vivado 2018.2 のプロジェクトのultra96_design ディレクトリに ex_board_test というディレクトリを作成し、ZIP ファイルを展開した。
PMOD_B4MIPI_B_test_13_190524.png

Vivado で ex_board_test IP をリポジトリに登録した。

zynq_ultra_ps_e_0 を開いて、PL Fabric Clocks の PL 1 を 1 MHz に設定して、出力させた。
PMOD_B4MIPI_B_test_14_190524.png

ex_board_test IP をブロック・デザインに追加した。
PMOD_B4MIPI_B_test_15_190524.png

現在、論理合成が成功している。
  1. 2019年05月24日 05:24 |
  2. Ultra96
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/4533-019f0604
この記事にトラックバックする(FC2ブログユーザー)