masaaki@masaaki-H110M4-M01:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build$ make host xclbin TARGET=hw BOARD=Zynq ARCH=aarch64
-e ----
Compiling object xf_resize_tb...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2
aarch64-linux-gnu-g++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xf_resize_tb.o -c /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp -D XDEVICE=ultra96v2_min2 -DVIVADO_HLS_SIM -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB -I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2 -g --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux -D__ZYNQ -std=c++14 -fPIC -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize -I/opt/xilinx/xrt/include -Wall -Wno-unknown-pragmas -Wno-unused-label -pthread -idirafter /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vivado/2019.2/include
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_headers.hpp:30,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_sw_utils.hpp: 関数 ‘void xf::cv::analyzeDiff(cv::Mat&, int, float&)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_sw_utils.hpp:150:23: 警告: 変数 ‘v_tmp1’ が設定されましたが使用されていません [-Wunused-but-set-variable]
float v_tmp1;
^~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:23,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp:18:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘short unsigned int xf::cv::Inverse8(unsigned char, int, char*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3135:18: 警告: unused variable ‘index’ [-Wunused-variable]
unsigned int index;
^~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘void xf::cv::findInverse24(ap_int<24>&, int&, int&, unsigned int&, int*, int*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3174:13: 警告: unused variable ‘N1’ [-Wunused-variable]
int M1, N1, leftover_bits = 0;
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘long unsigned int xf::cv::DivideYByX24(ap_int24_t, ap_int24_t, int, int, int*, int*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3213:17: 警告: unused variable ‘N2’ [-Wunused-variable]
int N1 = 0, N2 = 0;
^~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:29,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp:18:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp: 大域スコープ:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:33:13: 警告: ‘void CoreProcessUpArea(uchar_t, uchar_t, uchar_t, uchar_t, uint32_t, uint32_t, uchar_t*)’ defined but not used [-Wunused-function]
static void CoreProcessUpArea(
^~~~~~~~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:23,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp:18:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3437:12: 警告: ‘int xf::cv::Sqrt(unsigned int)’ defined but not used [-Wunused-function]
static int Sqrt(unsigned int D) {
^~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3357:14: 警告: ‘short int xf::cv::Atan2LookupFP24(ap_int24_t, ap_int24_t, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LookupFP24(ap_int24_t xs, ap_int24_t ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3292:14: 警告: ‘short int xf::cv::Atan2LUT8(short int, short int, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LUT8(short xs, short ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3228:14: 警告: ‘short int xf::cv::Atan2LookupFP(short int, short int, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LookupFP(short xs, short ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2924:21: 警告: ‘unsigned int xf::cv::Inverse32(short unsigned int, int, char*)’ defined but not used [-Wunused-function]
static unsigned int Inverse32(unsigned short x, int M, char* N) {
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2780:23: 警告: ‘xf::cv::cos_fix_lut’ defined but not used [-Wunused-variable]
static unsigned short cos_fix_lut[1572] = {
^~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2679:23: 警告: ‘xf::cv::sin_fix_lut’ defined but not used [-Wunused-variable]
static unsigned short sin_fix_lut[1572] = {
^~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:27,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_tb.cpp:18:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:156:17: 警告: ‘uint64_t xfUDivResize(uint64_t, short unsigned int)’ defined but not used [-Wunused-function]
static uint64_t xfUDivResize(uint64_t in_n, unsigned short in_d) {
^~~~~~~~~~~~
-e ----
Compiling object xf_resize_accel...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2
aarch64-linux-gnu-g++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xf_resize_accel.o -c /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp -D XDEVICE=ultra96v2_min2 -DVIVADO_HLS_SIM -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB -I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2 -g --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux -D__ZYNQ -std=c++14 -fPIC -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize -I/opt/xilinx/xrt/include -Wall -Wno-unknown-pragmas -Wno-unused-label -pthread -idirafter /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vivado/2019.2/include
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:23,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘short unsigned int xf::cv::Inverse8(unsigned char, int, char*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3135:18: 警告: unused variable ‘index’ [-Wunused-variable]
unsigned int index;
^~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘void xf::cv::findInverse24(ap_int<24>&, int&, int&, unsigned int&, int*, int*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3174:13: 警告: unused variable ‘N1’ [-Wunused-variable]
int M1, N1, leftover_bits = 0;
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 関数 ‘long unsigned int xf::cv::DivideYByX24(ap_int24_t, ap_int24_t, int, int, int*, int*)’ 内:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3213:17: 警告: unused variable ‘N2’ [-Wunused-variable]
int N1 = 0, N2 = 0;
^~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:29,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp: In instantiation of ‘void xFResizeAreaUpScale(xf::cv::Mat<DEPTH, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<DEPTH, DST_ROWS, DST_COLS, NPC>&) [with int SRC_ROWS = 2160; int SRC_COLS = 3840; int PLANES = 1; int DEPTH = 0; int NPC = 1; int WORDWIDTH = 1; int DST_ROWS = 1080; int DST_COLS = 1920; int SRC_TC = 3840; int DST_TC = 1920]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:53:116: required from ‘void xf::cv::resize(xf::cv::Mat<TYPE, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<TYPE, DST_ROWS, DST_COLS, NPC>&) [with int INTERPOLATION_TYPE = 2; int TYPE = 0; int SRC_ROWS = 2160; int SRC_COLS = 3840; int DST_ROWS = 1080; int DST_COLS = 1920; int NPC = 1; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:51:113: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:203:20: 警告: unused variable ‘imgOutput_ncpr’ [-Wunused-variable]
unsigned short imgOutput_ncpr = (out_width + (NPC - 1)) >> XF_BITSHIFT(NPC);
^~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:205:13: 警告: 変数 ‘idx’ が設定されましたが使用されていません [-Wunused-but-set-variable]
uchar_t idx = 0, repcount = 0, datacount = 0;
^~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:205:22: 警告: unused variable ‘repcount’ [-Wunused-variable]
uchar_t idx = 0, repcount = 0, datacount = 0;
^~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:205:36: 警告: unused variable ‘datacount’ [-Wunused-variable]
uchar_t idx = 0, repcount = 0, datacount = 0;
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:208:14: 警告: unused variable ‘read_line’ [-Wunused-variable]
short x, read_line, block_ind, block_start, bufferIndex;
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:209:11: 警告: unused variable ‘prev_y’ [-Wunused-variable]
short prev_y = -1, j = 0, i = 0, k, ii = 0, Yoffset, offset_temp, prev_offset_temp = 0;
^~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:209:41: 警告: 変数 ‘ii’ が設定されましたが使用されていません [-Wunused-but-set-variable]
short prev_y = -1, j = 0, i = 0, k, ii = 0, Yoffset, offset_temp, prev_offset_temp = 0;
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:209:71: 警告: 変数 ‘prev_offset_temp’ が設定されましたが使用されていません [-Wunused-but-set-variable]
short prev_y = -1, j = 0, i = 0, k, ii = 0, Yoffset, offset_temp, prev_offset_temp = 0;
^~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_up_area.hpp:311:9: 警告: unused variable ‘test’ [-Wunused-variable]
int test = (int)lbuf_in0[0].range(7, 0);
^~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp: In instantiation of ‘void xFResizeAreaDownScale(xf::cv::Mat<DEPTH, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<DEPTH, DST_ROWS, DST_COLS, NPC>&) [with int SRC_ROWS = 2160; int SRC_COLS = 3840; int PLANES = 1; int DEPTH = 0; int NPC = 1; int WORDWIDTH = 1; int DST_ROWS = 1080; int DST_COLS = 1920; int SRC_TC = 3840; int DST_TC = 1920]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:57:118: required from ‘void xf::cv::resize(xf::cv::Mat<TYPE, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<TYPE, DST_ROWS, DST_COLS, NPC>&) [with int INTERPOLATION_TYPE = 2; int TYPE = 0; int SRC_ROWS = 2160; int SRC_COLS = 3840; int DST_ROWS = 1080; int DST_COLS = 1920; int NPC = 1; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:51:113: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:828:17: 警告: unused variable ‘t1’ [-Wunused-variable]
int t1 = Yindex_output.range(15, 0);
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:829:17: 警告: unused variable ‘t2’ [-Wunused-variable]
int t2 = Y_1PixelWeight;
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:830:17: 警告: unused variable ‘t3’ [-Wunused-variable]
int t3 = Yindex_output;
^~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:593:10: 警告: 変数 ‘inflag_TA_prev’ が設定されましたが使用されていません [-Wunused-but-set-variable]
bool inflag_TA_prev[NUM_INPB];
^~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:624:10: 警告: unused variable ‘Yaxis_overlap_nextrow_en’ [-Wunused-variable]
bool Yaxis_overlap_nextrow_en = 0;
^~~~~~~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:625:10: 警告: 変数 ‘Yaxis_overlap_prevrow_en’ が設定されましたが使用されていません [-Wunused-but-set-variable]
bool Yaxis_overlap_prevrow_en = 0;
^~~~~~~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:677:10: 警告: 変数 ‘prev_output_row_index_for_pingpong_bit0’ が設定されましたが使用されていません [-Wunused-but-set-variable]
bool prev_output_row_index_for_pingpong_bit0 = 0;
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:683:9: 警告: unused variable ‘display_write_rowID’ [-Wunused-variable]
int display_write_rowID = 0;
^~~~~~~~~~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:27,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp: In instantiation of ‘void resizeNNBilinear(xf::cv::Mat<T, ROWS, COLS, NPC>&, xf::cv::Mat<SRC_TYPE, OUTHEIGHT, OUTWIDTH, NPPC>&) [with int SRC_TYPE = 0; int INHEIGHT = 2160; int INWIDTH = 3840; int NPPC = 1; int OUTHEIGHT = 1080; int OUTWIDTH = 1920; int INTERPOLATION_TYPE = 2; int MAX_DOWN_SCALE = 2]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:63:112: required from ‘void xf::cv::resize(xf::cv::Mat<TYPE, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<TYPE, DST_ROWS, DST_COLS, NPC>&) [with int INTERPOLATION_TYPE = 2; int TYPE = 0; int SRC_ROWS = 2160; int SRC_COLS = 3840; int DST_ROWS = 1080; int DST_COLS = 1920; int NPC = 1; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:51:113: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:287:15: 警告: unused variable ‘PRE_INDEX_WIDTH’ [-Wunused-variable]
const int PRE_INDEX_WIDTH = 10;
^~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:288:15: 警告: unused variable ‘PRE_INDEX_INT’ [-Wunused-variable]
const int PRE_INDEX_INT = 17;
^~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:355:15: 警告: unused variable ‘LOOPCOUNTROW’ [-Wunused-variable]
const int LOOPCOUNTROW = (INHEIGHT > OUTHEIGHT) ? INHEIGHT : OUTHEIGHT;
^~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:356:15: 警告: unused variable ‘LOOPCOUNTCOL’ [-Wunused-variable]
const int LOOPCOUNTCOL = (INWIDTH > OUTWIDTH) ? INWIDTH : OUTWIDTH;
^~~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:22,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp: In instantiation of ‘void xf::cv::accel_utils::hlsStrm2xfMat(hls::stream<ap_uint<_AP_W2> >&, xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&, int) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 2160; int COLS = 3840; int NPC = 1; int TRIPCOUNT = 8294400]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:312:9: required from ‘void xf::cv::accel_utils::Array2xfMat(ap_uint<_AP_W2>*, xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 2160; int COLS = 3840; int NPC = 1]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:508:5: required from ‘void xf::cv::Array2xfMat(ap_uint<_AP_W2>*, xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 2160; int COLS = 3840; int NPC = 1]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:50:85: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:270:17: 警告: unused variable ‘valid_bits_update’ [-Wunused-variable]
int valid_bits_update;
^~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:271:17: 警告: unused variable ‘valid_bits_tmp’ [-Wunused-variable]
int valid_bits_tmp = valid_bits - K_size;
^~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:247:13: 警告: unused variable ‘strm_cnt_disply’ [-Wunused-variable]
int strm_cnt_disply = 0;
^~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:293:13: 警告: unused variable ‘stop’ [-Wunused-variable]
int stop = 0;
^~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp: In instantiation of ‘void flag_index_generator(ap_uint<32>, ap_uint<32>, ap_uint<32>, ap_uint<16>, int, ap_uint<32>*, ap_uint<32>*, ap_uint<16>*, bool (*)[NUM_INPB], ap_uint<16>*, ap_uint<17> (*)[NUM_INPB], bool*, ap_uint<17>*, bool*, bool*, bool, ap_uint<32>, ap_uint<32>, ap_uint<16>, short unsigned int, short unsigned int, short unsigned int, ap_uint<16>*) [with int NUM_INPB = 1; int LOG2_PB = 0; int NUM_PB = 1; int NPC = 1]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:744:63: required from ‘void xFResizeAreaDownScale(xf::cv::Mat<DEPTH, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<DEPTH, DST_ROWS, DST_COLS, NPC>&) [with int SRC_ROWS = 2160; int SRC_COLS = 3840; int PLANES = 1; int DEPTH = 0; int NPC = 1; int WORDWIDTH = 1; int DST_ROWS = 1080; int DST_COLS = 1920; int SRC_TC = 3840; int DST_TC = 1920]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:57:118: required from ‘void xf::cv::resize(xf::cv::Mat<TYPE, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<TYPE, DST_ROWS, DST_COLS, NPC>&) [with int INTERPOLATION_TYPE = 2; int TYPE = 0; int SRC_ROWS = 2160; int SRC_COLS = 3840; int DST_ROWS = 1080; int DST_COLS = 1920; int NPC = 1; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:51:113: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:183:10: 警告: unused variable ‘if_test’ [-Wunused-variable]
bool if_test = t1 && (t2 || t3);
^~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:184:9: 警告: unused variable ‘current_Yidx_int’ [-Wunused-variable]
int current_Yidx_int = Yindex_output_tmp.range(31, 16);
^~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:185:9: 警告: unused variable ‘next_Yidx_int’ [-Wunused-variable]
int next_Yidx_int = Yindex_output_prev_tmp.range(31, 16);
^~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:187:10: 警告: unused variable ‘scale1_en’ [-Wunused-variable]
bool scale1_en = X_1PixelWeight[16] == 1;
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:188:10: 警告: unused variable ‘write_en_pixel_in_same_row’ [-Wunused-variable]
bool write_en_pixel_in_same_row = (ouput_index_write_counter <= output_buffer_index_next);
^~~~~~~~~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:189:10: 警告: unused variable ‘overlap_en_next_row’ [-Wunused-variable]
bool overlap_en_next_row = (overlap_with_next_row > 0x41);
^~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:190:10: 警告: unused variable ‘overlap_en_prev_row’ [-Wunused-variable]
bool overlap_en_prev_row = (overlap_with_prev_row > 0x41);
^~~~~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:191:10: 警告: unused variable ‘output_row_en’ [-Wunused-variable]
bool output_row_en =
^~~~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:27,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp: In instantiation of ‘void computeInterpolation(int, int, int, int, ap_ufixed<T_SCALE_WIDTH, T_SCALE_INT>, ap_fixed<T_COMP_INDEX_WIDTH, T_COMP_INDEX_INT>*, ap_ufixed<T_SCALE_WIDTH, T_SCALE_INT>, ap_uint<TD>*, ap_uint<TD>&, ap_uint<TD>&, ap_ufixed<T_WEIGHT_WIDTH, T_WEIGHT_INT>*, ap_ufixed<T_WEIGHT_WIDTH, T_WEIGHT_INT>&, ap_fixed<T_COMP_INDEX_WIDTH, T_COMP_INDEX_INT>, ap_fixed<T_COMP_INDEX_WIDTH, T_COMP_INDEX_INT>) [with int INTERPOLATION_TYPE = 2; int T_COMP_INDEX_WIDTH = 42; int T_COMP_INDEX_INT = 20; int T_INDEX_INT = 17; int T_SCALE_WIDTH = 48; int T_SCALE_INT = 16; int T_WEIGHT_WIDTH = 48; int T_WEIGHT_INT = 16; int NPPC = 1]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:397:76: required from ‘void resizeNNBilinear(xf::cv::Mat<T, ROWS, COLS, NPC>&, xf::cv::Mat<SRC_TYPE, OUTHEIGHT, OUTWIDTH, NPPC>&) [with int SRC_TYPE = 0; int INHEIGHT = 2160; int INWIDTH = 3840; int NPPC = 1; int OUTHEIGHT = 1080; int OUTWIDTH = 1920; int INTERPOLATION_TYPE = 2; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:63:112: required from ‘void xf::cv::resize(xf::cv::Mat<TYPE, SRC_ROWS, SRC_COLS, NPC>&, xf::cv::Mat<TYPE, DST_ROWS, DST_COLS, NPC>&) [with int INTERPOLATION_TYPE = 2; int TYPE = 0; int SRC_ROWS = 2160; int SRC_COLS = 3840; int DST_ROWS = 1080; int DST_COLS = 1920; int NPC = 1; int MAX_DOWN_SCALE = 2]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:51:113: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:222:15: 警告: unused variable ‘WEIGHT_WIDTH’ [-Wunused-variable]
const int WEIGHT_WIDTH = T_WEIGHT_WIDTH;
^~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:223:15: 警告: unused variable ‘WEIGHT_INT’ [-Wunused-variable]
const int WEIGHT_INT = T_WEIGHT_INT;
^~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:224:15: 警告: unused variable ‘SCALE_WIDTH’ [-Wunused-variable]
const int SCALE_WIDTH = T_SCALE_WIDTH;
^~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_nn_bilinear.hpp:225:15: 警告: unused variable ‘SCALE_INT’ [-Wunused-variable]
const int SCALE_INT = T_SCALE_INT;
^~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:22,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp: In instantiation of ‘void xf::cv::accel_utils::xfMat2hlsStrm(xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&, hls::stream<ap_uint<_AP_W2> >&, int) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 1080; int COLS = 1920; int NPC = 1; int TRIPCOUNT = 2073600]’:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:452:9: required from ‘void xf::cv::accel_utils::xfMat2Array(xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&, ap_uint<_AP_W2>*) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 1080; int COLS = 1920; int NPC = 1]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:502:5: required from ‘void xf::cv::xfMat2Array(xf::cv::Mat<MAT_T, ROWS, COLS, NPC>&, ap_uint<_AP_W2>*) [with int PTR_WIDTH = 128; int MAT_T = 0; int ROWS = 1080; int COLS = 1920; int NPC = 1]’
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:52:93: required from here
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:365:13: 警告: unused variable ‘ddr_write_cycles’ [-Wunused-variable]
int ddr_write_cycles = (((out_size_bits) + (PTR_WIDTH)-1) / (PTR_WIDTH));
^~~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/common/xf_utility.hpp:366:13: 警告: unused variable ‘ddr_write_cnt’ [-Wunused-variable]
int ddr_write_cnt = 0;
^~~~~~~~~~~~~
In file included from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_down_area.hpp:23,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize_headers.h:28,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/imgproc/xf_resize.hpp:20,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_config.h:24,
from /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp:17:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h: 大域スコープ:
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3437:12: 警告: ‘int xf::cv::Sqrt(unsigned int)’ defined but not used [-Wunused-function]
static int Sqrt(unsigned int D) {
^~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3357:14: 警告: ‘short int xf::cv::Atan2LookupFP24(ap_int24_t, ap_int24_t, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LookupFP24(ap_int24_t xs, ap_int24_t ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3292:14: 警告: ‘short int xf::cv::Atan2LUT8(short int, short int, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LUT8(short xs, short ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:3228:14: 警告: ‘short int xf::cv::Atan2LookupFP(short int, short int, int, int, int, int)’ defined but not used [-Wunused-function]
static short Atan2LookupFP(short xs, short ys, int M1, int N1, int M2, int N2) {
^~~~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2924:21: 警告: ‘unsigned int xf::cv::Inverse32(short unsigned int, int, char*)’ defined but not used [-Wunused-function]
static unsigned int Inverse32(unsigned short x, int M, char* N) {
^~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2780:23: 警告: ‘xf::cv::cos_fix_lut’ defined but not used [-Wunused-variable]
static unsigned short cos_fix_lut[1572] = {
^~~~~~~~~~~
/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include/core/xf_math.h:2679:23: 警告: ‘xf::cv::sin_fix_lut’ defined but not used [-Wunused-variable]
static unsigned short sin_fix_lut[1572] = {
^~~~~~~~~~~
-e ----
Compiling extra object /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xcl2.o...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2
aarch64-linux-gnu-g++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xcl2.o -c /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2/xcl2.cpp -D XDEVICE=ultra96v2_min2 -DVIVADO_HLS_SIM -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB -I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2 -g --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux -D__ZYNQ -std=c++14 -fPIC -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize -I/opt/xilinx/xrt/include -Wall -Wno-unknown-pragmas -Wno-unused-label -pthread -idirafter /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vivado/2019.2/include -I /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2
-e ----
Compiling host resize.exe...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/bin_ultra96v2_min2
aarch64-linux-gnu-g++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/bin_ultra96v2_min2/resize.exe /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xf_resize_tb.o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xf_resize_accel.o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/obj_ultra96v2_min2/xcl2.o -D XDEVICE=ultra96v2_min2 -DVIVADO_HLS_SIM -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB -I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/ext/xcl2 -g --sysroot=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux -D__ZYNQ -std=c++14 -fPIC -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize -I/opt/xilinx/xrt/include -Wall -Wno-unknown-pragmas -Wno-unused-label -pthread -idirafter /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vivado/2019.2/include -L/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux/usr/lib -Wl,-rpath-link=/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux/usr/lib/ -L/media/masaaki/Ubuntu_Disk/tools/Xilinx/PetaLinux/PetaL_Proj/2019.2/ultra96v2_min2/images/linux/ultra96v2_min2_pkg/pfm/sysroots/aarch64-xilinx-linux/opt/xilinx/xrt/lib -lopencv_imgcodecs -lopencv_core -lopencv_imgproc -lopencv_highgui -lopencv_calib3d -lopencv_features2d -lopencv_flann -pthread -L/opt/xilinx/xrt/lib -lxilinxopencl
-e ----
Compiling kernel resize_accel...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw
v++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo --kernel resize_accel --compile /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/xf_resize_accel.cpp \
-I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB --clock.defaultFreqHz 300000000 -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize --target hw --platform /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw --save-temps --debug --kernel_frequency 300 --report_level 2 --xp vivado_prop:run.impl_1.strategy=Performance_Explore
WARNING: [v++ 60-1600] The option 'xp' was used directly on the command line, where its usage is deprecated. To ensure input line works for supported operating systems or shells, v++ supports specification for some options in a configuration file. As an alternative, please use options 'advanced.*', 'vivado.*' in a configuration file. Use one or more configuration files along with section headers to define key-value pairs for the advanced properties or parameters. Specify a configuration file using '--config'.
INFO: [v++ 82-185] Check out the auto-generated 'sample_compile.ini' configuration file. The file shows how to migrate from deprecated command line --xp switches to configuration file directives.
Option Map File Used: '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/data/vitis/vpp/optMap.xml'
****** v++ v2019.2 (64-bit)
**** SW Build 2700185 on Thu Oct 24 18:45:48 MDT 2019
** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
INFO: [v++ 60-1306] Additional information associated with this v++ compile can be found at:
Reports: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/resize_accel
Log files: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/logs/resize_accel
Running Dispatch Server on port:42683
INFO: [v++ 60-1548] Creating build summary session with primary output /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo.compile_summary, at Mon Mar 23 04:24:39 2020
INFO: [v++ 60-1316] Initiating connection to rulecheck server, at Mon Mar 23 04:24:39 2020
Running Rule Check Server on port:36325
INFO: [v++ 60-1315] Creating rulecheck session with output '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/resize_accel/v++_compile_resize_accel_guidance.html', at Mon Mar 23 04:24:40 2020
INFO: [v++ 60-895] Target platform: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm
INFO: [v++ 60-1578] This platform contains Xilinx Shell Archive '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/hw/ultra96v2_min2.xsa'
INFO: [v++ 60-585] Compiling for hardware target
INFO: [v++ 60-423] Target device: ultra96v2_min2
INFO: [v++ 60-242] Creating kernel: 'resize_accel'
INFO: [v++ 60-1616] Creating a HLS clock using kernel_frequency option: 300 MHz
===>The following messages were generated while performing high-level synthesis for kernel: resize_accel Log file: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/resize_accel/resize_accel/vivado_hls.log :
INFO: [v++ 204-61] Option 'relax_ii_for_timing' is enabled, will increase II to preserve clock frequency constraints.
INFO: [v++ 204-61] Pipelining loop 'Loop 1'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 3.
INFO: [v++ 204-61] Pipelining loop 'L1'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 4.
INFO: [v++ 204-61] Pipelining loop 'Loop 1'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 1.
INFO: [v++ 204-61] Pipelining loop 'LOOP_ROW_LOOP_COL'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 13.
INFO: [v++ 204-61] Pipelining loop 'L1'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 3.
INFO: [v++ 204-61] Pipelining loop 'Loop 1'.
INFO: [v++ 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 3.
INFO: [v++ 200-789] **** Estimated Fmax: 411.02 MHz
INFO: [v++ 60-594] Finished kernel compilation
INFO: [v++ 60-244] Generating system estimate report...
INFO: [v++ 60-1092] Generated system estimate report: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/resize_accel/system_estimate_resize_accel.xtxt
Add Instance resize_2_0_2160_3840_1080_1920_1_2_s resize_2_0_2160_3840_1080_1920_1_2_U0 392
Add Instance xFResizeAreaDownScale_2160_3840_1_0_1_1_1080_1920_3840_1920_s grp_xFResizeAreaDownScale_2160_3840_1_0_1_1_1080_1920_3840_1920_s_fu_158 158
Add Instance xfMat2Array_128_0_1080_1920_1_s xfMat2Array_128_0_1080_1920_1_U0 404
Add Instance xfMat2Array_128_0_1080_1920_1_1 grp_xfMat2Array_128_0_1080_1920_1_1_fu_102 102
Add Instance xfMat2hlsStrm_128_0_1080_1920_1_2073600_13 xfMat2hlsStrm_128_0_1080_1920_1_2073600_13_U0 156
Add Instance hlsStrm2Array_128_1080_1920_1_1_8_129600_s hlsStrm2Array_128_1080_1920_1_1_8_129600_U0 172
Add Instance Array2xfMat_128_0_2160_3840_1_1 Array2xfMat_128_0_2160_3840_1_1_U0 414
Add Instance Array2xfMat_128_0_2160_3840_1_s grp_Array2xfMat_128_0_2160_3840_1_s_fu_144 144
Add Instance hlsStrm2xfMat_128_0_2160_3840_1_8294400_s hlsStrm2xfMat_128_0_2160_3840_1_8294400_U0 136
Add Instance Array2hlsStrm_128_2160_3840_1_1_8_518400_s Array2hlsStrm_128_2160_3840_1_1_8_518400_U0 145
Add Instance Block_Mat_exit7_proc35 Block_Mat_exit7_proc35_U0 426
INFO: [v++ 60-586] Created /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo
INFO: [v++ 60-791] Total elapsed time: 0h 1m 25s
-e ----
Compiling xclbin...
mkdir -p /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw
v++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw/krnl_resize.xclbin --link /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo \
-I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB --clock.defaultFreqHz 300000000 -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize --target hw --platform /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw --save-temps --debug --kernel_frequency 300 --report_level 2 --optimize 2 --jobs 8 --xp "vivado_param:project.writeIntermediateCheckpoints=1" \
--xp vivado_prop:run.impl_1.strategy=Performance_Explore \
WARNING: [v++ 60-1600] The option 'xp' was used directly on the command line, where its usage is deprecated. To ensure input line works for supported operating systems or shells, v++ supports specification for some options in a configuration file. As an alternative, please use options 'advanced.*', 'vivado.*' in a configuration file. Use one or more configuration files along with section headers to define key-value pairs for the advanced properties or parameters. Specify a configuration file using '--config'.
INFO: [v++ 82-185] Check out the auto-generated 'sample_link.ini' configuration file. The file shows how to migrate from deprecated command line --xp switches to configuration file directives.
Option Map File Used: '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/data/vitis/vpp/optMap.xml'
****** v++ v2019.2 (64-bit)
**** SW Build 2700185 on Thu Oct 24 18:45:48 MDT 2019
** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
INFO: [v++ 60-1306] Additional information associated with this v++ link can be found at:
Reports: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link
Log files: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/logs/link
Running Dispatch Server on port:42053
INFO: [v++ 60-1548] Creating build summary session with primary output /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw/krnl_resize.xclbin.link_summary, at Mon Mar 23 04:26:06 2020
INFO: [v++ 60-1316] Initiating connection to rulecheck server, at Mon Mar 23 04:26:06 2020
Running Rule Check Server on port:46567
INFO: [v++ 60-1315] Creating rulecheck session with output '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link/v++_link_krnl_resize_guidance.html', at Mon Mar 23 04:26:07 2020
INFO: [v++ 60-895] Target platform: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm
INFO: [v++ 60-1578] This platform contains Xilinx Shell Archive '/media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/hw/ultra96v2_min2.xsa'
INFO: [v++ 60-629] Linking for hardware target
INFO: [v++ 60-423] Target device: ultra96v2_min2
INFO: [v++ 60-1332] Run 'run_link' status: Not started
INFO: [v++ 60-1443] [04:26:07] Run run_link: Step system_link: Started
INFO: [v++ 60-1453] Command Line: system_link --xo /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo -keep --config /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/syslinkConfig.ini --xpfm /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm --target hw --output_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
INFO: [SYSTEM_LINK 82-76] Reading emulation BD and HPFM information
INFO: [SYSTEM_LINK 60-1316] Initiating connection to rulecheck server, at Mon Mar 23 04:26:08 2020
INFO: [SYSTEM_LINK 82-70] Extracting xo v3 file /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo
INFO: [KernelCheck 83-118] 'resize_accel' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'img_inp' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'img_out' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'rows_in' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'cols_in' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'rows_out' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [KernelCheck 83-118] 'cols_out' kernel.xml and component.xml caseness discrepency is being corrected, S_AXI_CONTROL is being replaced by s_axi_control
INFO: [SYSTEM_LINK 82-53] Creating IP database /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.cdb/xd_ip_db.xml
INFO: [SYSTEM_LINK 82-38] [04:26:08] build_xd_ip_db started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/bin/build_xd_ip_db -ip_search 0 -sds-pf /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/ultra96v2_min2.hpfm -clkid 0 -ip /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/iprepo/xilinx_com_hls_resize_accel_1_0,resize_accel -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.cdb/xd_ip_db.xml
INFO: [SYSTEM_LINK 82-37] [04:26:12] build_xd_ip_db finished successfully
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 296.441 ; gain = 0.000 ; free physical = 24667 ; free virtual = 44405
INFO: [SYSTEM_LINK 82-51] Create system connectivity graph
INFO: [SYSTEM_LINK 82-102] Applying explicit connections to the system connectivity graph: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/cfgraph/cfgen_cfgraph.xml
INFO: [SYSTEM_LINK 82-38] [04:26:12] cfgen started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/bin/cfgen -clock.defaultFreqHz 300000000 -dmclkid 0 -r /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.cdb/xd_ip_db.xml -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/cfgraph/cfgen_cfgraph.xml
INFO: [CFGEN 83-0] Kernel Specs:
INFO: [CFGEN 83-0] kernel: resize_accel, num: 1 {resize_accel_1}
INFO: [CFGEN 83-2226] Inferring mapping for argument resize_accel_1.img_inp to HP
INFO: [CFGEN 83-2226] Inferring mapping for argument resize_accel_1.img_out to HP
INFO: [SYSTEM_LINK 82-37] [04:26:12] cfgen finished successfully
Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.31 . Memory (MB): peak = 296.441 ; gain = 0.000 ; free physical = 24666 ; free virtual = 44406
INFO: [SYSTEM_LINK 82-52] Create top-level block diagram
INFO: [SYSTEM_LINK 82-38] [04:26:12] cf2bd started: /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/bin/cf2bd --linux --trace_buffer 1024 --input_file /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/cfgraph/cfgen_cfgraph.xml --ip_db /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.cdb/xd_ip_db.xml --cf_name dr --working_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.xsd --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link --output_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int
INFO: [CF2BD 82-31] Launching cf2xd: cf2xd -linux -trace-buffer 1024 -i /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/cfgraph/cfgen_cfgraph.xml -r /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.cdb/xd_ip_db.xml -o dr.xml
INFO: [CF2BD 82-28] cf2xd finished successfully
INFO: [CF2BD 82-31] Launching cf_xsd: cf_xsd -disable-address-gen -dn dr -dp /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/sys_link/_sysl/.xsd
rlwrap: warning: your $TERM is 'xterm-256color' but rlwrap couldn't find it in the terminfo database. Expect some problems.: Inappropriate ioctl for device
INFO: [CF2BD 82-28] cf_xsd finished successfully
INFO: [SYSTEM_LINK 82-37] [04:26:14] cf2bd finished successfully
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 296.441 ; gain = 0.000 ; free physical = 24658 ; free virtual = 44403
INFO: [v++ 60-1441] [04:26:14] Run run_link: Step system_link: Completed
Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 24677 ; free virtual = 44422
INFO: [v++ 60-1443] [04:26:14] Run run_link: Step cf2sw: Started
INFO: [v++ 60-1453] Command Line: cf2sw -sdsl /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/sdsl.dat -rtd /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/cf2sw.rtd -xclbin /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/xclbin_orig.xml -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/xclbin_orig.1.xml
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
INFO: [v++ 60-1441] [04:26:14] Run run_link: Step cf2sw: Completed
Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.53 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 24675 ; free virtual = 44421
INFO: [v++ 60-1443] [04:26:14] Run run_link: Step rtd2_system_diagram: Started
INFO: [v++ 60-1453] Command Line: rtd2SystemDiagram --rtdJsonFileName /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/cf2sw.rtd --diagramJsonFileName /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/systemDiagramModel.json --platformFilePath /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm --generatedByName v++ --generatedByVersion 2019.2 --generatedByChangeList 2700185 --generatedByTimeStamp Thu Oct 24 18:45:48 MDT 2019 --generatedByOptions /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/bin/unwrapped/lnx64.o/v++ -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw/krnl_resize.xclbin --link /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xo_ultra96v2_min2_hw/resize_accel.xo -I. -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L1/include -D__SDSVHLS__ -DHLS_NO_XIL_FPO_LIB --clock.defaultFreqHz 300000000 -I/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize --target hw --platform /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw --save-temps --debug --kernel_frequency 300 --report_level 2 --optimize 2 --jobs 8 --xp vivado_param:project.writeIntermediateCheckpoints=1 --xp vivado_prop:run.impl_1.strategy=Performance_Explore --generatedByXclbinName krnl_resize --kernelInfoDataFileName /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/kernel_info.dat
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
WARNING: [v++ 82-164] Unable to populate user region instance path. The platform file does not contain a hardwarePlatform.devices..core.instPath entry.
INFO: [v++ 60-839] Read in kernel information from file '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/kernel_info.dat'.
WARNING: [v++ 82-157] Unable to populate kernel available resources BRAM entry.
WARNING: [v++ 82-158] Unable to populate kernel available resources DSP entry.
WARNING: [v++ 82-163] Unable to populate user region available resources. The platform file deos not contain a hardwarePlatform.devices..core.availableResources entry
INFO: [v++ 60-1441] [04:26:16] Run run_link: Step rtd2_system_diagram: Completed
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 24673 ; free virtual = 44420
INFO: [v++ 60-1443] [04:26:16] Run run_link: Step vpl: Started
INFO: [v++ 60-1453] Command Line: vpl -t hw -f /media/masaaki/Ubuntu_Disk/tools/Xilinx/Vitis/2019.2/platforms/ultra96v2_min2/ultra96v2_min2.xpfm -g -j 8 --kernel_frequency 300 -s --output_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int --log_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/logs/link --report_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link --config /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/vplConfig.ini -k /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/kernel_info.dat --webtalk_flag Vitis --temp_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link --no-info --tlog_dir /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/.tlog/v++_link_krnl_resize --iprepo /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/xo/ip_repo/xilinx_com_hls_resize_accel_1_0 --messageDb /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link/vpl.pb /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/dr.bd.tcl
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
****** vpl v2019.2 (64-bit)
**** SW Build 2700185 on Thu Oct 24 18:45:48 MDT 2019
** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
INFO: [VPL 60-839] Read in kernel information from file '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/kernel_info.dat'.
INFO: [VPL 60-423] Target device: ultra96v2_min2
INFO: [VPL 60-1032] Extracting hardware platform to /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/vivado/vpl/.local/hw_platform
[04:26:28] Run vpl: Step create_project: Started
Creating Vivado project.
[04:26:37] Run vpl: Step create_project: Completed
[04:26:37] Run vpl: Step create_bd: Started
[04:26:51] Run vpl: Step create_bd: Completed
[04:26:51] Run vpl: Step update_bd: Started
[04:26:52] Run vpl: Step update_bd: Completed
[04:26:52] Run vpl: Step generate_target: Started
[04:27:34] Run vpl: Step generate_target: Completed
[04:27:34] Run vpl: Step config_hw_runs: Started
[04:27:36] Run vpl: Step config_hw_runs: Completed
[04:27:36] Run vpl: Step synth: Started
[04:28:38] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:29:08] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:29:39] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:30:09] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:30:39] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:31:10] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:31:40] Block-level synthesis in progress, 0 of 13 jobs complete, 8 jobs running.
[04:32:11] Block-level synthesis in progress, 2 of 13 jobs complete, 6 jobs running.
[04:32:41] Block-level synthesis in progress, 3 of 13 jobs complete, 5 jobs running.
[04:33:11] Block-level synthesis in progress, 5 of 13 jobs complete, 5 jobs running.
[04:33:41] Block-level synthesis in progress, 7 of 13 jobs complete, 5 jobs running.
[04:34:12] Block-level synthesis in progress, 7 of 13 jobs complete, 5 jobs running.
[04:34:42] Block-level synthesis in progress, 9 of 13 jobs complete, 3 jobs running.
[04:35:12] Block-level synthesis in progress, 11 of 13 jobs complete, 2 jobs running.
[04:35:42] Block-level synthesis in progress, 11 of 13 jobs complete, 2 jobs running.
[04:36:12] Block-level synthesis in progress, 12 of 13 jobs complete, 1 job running.
[04:36:42] Block-level synthesis in progress, 13 of 13 jobs complete, 0 jobs running.
[04:37:13] Top-level synthesis in progress.
[04:37:43] Top-level synthesis in progress.
[04:38:13] Top-level synthesis in progress.
[04:38:32] Run vpl: Step synth: Completed
[04:38:32] Run vpl: Step impl: Started
[04:40:33] Finished 2nd of 6 tasks (FPGA linking synthesized kernels to platform). Elapsed time: 00h 14m 15s
[04:40:33] Starting logic optimization..
[04:40:33] Phase 1 Retarget
[04:40:33] Phase 2 Constant propagation
[04:40:33] Phase 3 Sweep
[04:41:04] Phase 4 BUFG optimization
[04:41:04] Phase 5 Shift Register Optimization
[04:41:04] Phase 6 Post Processing Netlist
[04:41:34] Finished 3rd of 6 tasks (FPGA logic optimization). Elapsed time: 00h 01m 00s
[04:41:34] Starting logic placement..
[04:41:34] Phase 1 Placer Initialization
[04:41:34] Phase 1.1 Placer Initialization Netlist Sorting
[04:41:34] Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
[04:41:34] Phase 1.3 Build Placer Netlist Model
[04:41:34] Phase 1.4 Constrain Clocks/Macros
[04:41:34] Phase 2 Global Placement
[04:41:34] Phase 2.1 Floorplanning
[04:41:34] Phase 2.2 Global Placement Core
[04:42:04] Phase 2.2.1 Physical Synthesis In Placer
[04:42:04] Phase 3 Detail Placement
[04:42:04] Phase 3.1 Commit Multi Column Macros
[04:42:04] Phase 3.2 Commit Most Macros & LUTRAMs
[04:42:04] Phase 3.3 Area Swap Optimization
[04:42:04] Phase 3.4 Pipeline Register Optimization
[04:42:04] Phase 3.5 Small Shape DP
[04:42:04] Phase 3.5.1 Small Shape Clustering
[04:42:04] Phase 3.5.2 Flow Legalize Slice Clusters
[04:42:04] Phase 3.5.3 Slice Area Swap
[04:42:04] Phase 3.5.4 Commit Slice Clusters
[04:42:04] Phase 3.6 Re-assign LUT pins
[04:42:04] Phase 3.7 Pipeline Register Optimization
[04:42:04] Phase 4 Post Placement Optimization and Clean-Up
[04:42:04] Phase 4.1 Post Commit Optimization
[04:42:04] Phase 4.1.1 Post Placement Optimization
[04:42:04] Phase 4.1.1.1 BUFG Insertion
[04:42:04] Phase 4.2 Post Placement Cleanup
[04:42:34] Phase 4.3 Placer Reporting
[04:42:34] Phase 4.4 Final Placement Cleanup
[04:42:34] Finished 4th of 6 tasks (FPGA logic placement). Elapsed time: 00h 01m 00s
[04:42:34] Starting logic routing..
[04:42:34] Phase 1 Build RT Design
[04:43:04] Phase 2 Router Initialization
[04:43:04] Phase 2.1 Create Timer
[04:43:04] Phase 2.2 Fix Topology Constraints
[04:43:04] Phase 2.3 Pre Route Cleanup
[04:43:04] Phase 2.4 Global Clock Net Routing
[04:43:04] Phase 2.5 Update Timing
[04:43:35] Phase 2.6 Update Timing for Bus Skew
[04:43:35] Phase 2.6.1 Update Timing
[04:43:35] Phase 3 Initial Routing
[04:43:35] Phase 4 Rip-up And Reroute
[04:43:35] Phase 4.1 Global Iteration 0
[04:44:35] Phase 4.2 Global Iteration 1
[04:44:35] Phase 5 Delay and Skew Optimization
[04:44:35] Phase 5.1 Delay CleanUp
[04:44:35] Phase 5.1.1 Update Timing
[04:44:35] Phase 5.2 Clock Skew Optimization
[04:44:35] Phase 6 Post Hold Fix
[04:44:35] Phase 6.1 Hold Fix Iter
[04:44:35] Phase 6.1.1 Update Timing
[04:44:35] Phase 7 Route finalize
[04:44:35] Phase 8 Verifying routed nets
[04:44:35] Phase 9 Depositing Routes
[04:44:35] Phase 10 Route finalize
[04:44:35] Phase 11 Post Router Timing
[04:44:35] Finished 5th of 6 tasks (FPGA routing). Elapsed time: 00h 02m 00s
[04:44:35] Starting bitstream generation..
[04:45:29] Run vpl: Step impl: Completed
[04:45:29] Creating bitmap...
[04:45:29] Writing bitstream ./ultra96v2_min2_wrapper.bit...
[04:45:29] Finished 6th of 6 tasks (FPGA bitstream generation). Elapsed time: 00h 00m 53s
[04:45:29] Run vpl: FINISHED. Run Status: impl Complete!
INFO: [v++ 60-1441] [04:45:29] Run run_link: Step vpl: Completed
Time (s): cpu = 00:00:07 ; elapsed = 00:19:13 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 22851 ; free virtual = 43448
INFO: [v++ 60-1443] [04:45:29] Run run_link: Step rtdgen: Started
INFO: [v++ 60-1453] Command Line: rtdgen
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
INFO: [v++ 60-1453] Command Line: cf2sw -a /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/address_map.xml -sdsl /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/sdsl.dat -xclbin /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/xclbin_orig.xml -rtd /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.rtd -o /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xml
INFO: [v++ 60-1618] Launching
INFO: [v++ 60-1441] [04:45:31] Run run_link: Step rtdgen: Completed
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 22851 ; free virtual = 43448
INFO: [v++ 60-1443] [04:45:31] Run run_link: Step xclbinutil: Started
INFO: [v++ 60-1453] Command Line: xclbinutil --add-section BITSTREAM:RAW:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/system.bit --force --key-value SYS:mode:flat --add-section :JSON:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.rtd --add-section CLOCK_FREQ_TOPOLOGY:JSON:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize_xml.rtd --add-section BUILD_METADATA:JSON:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize_build.rtd --add-section EMBEDDED_METADATA:RAW:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xml --add-section SYSTEM_METADATA:RAW:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/systemDiagramModelSlrBaseAddress.json --key-value SYS:PlatformVBNV:vendor_Ultra96V2_ultra96v2_min2_1_0 --output /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xclbin
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
XRT Build Version: 2.3.1301
Build Date: 2019-10-24 20:05:16
Hash ID: 192e706aea53163a04c574f9b3fe9ed76b6ca471
Creating a default 'in-memory' xclbin image.
Section: 'BITSTREAM'(0) was successfully added.
Size : 5568794 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/system.bit'
Section: 'MEM_TOPOLOGY'(6) was successfully added.
Format : JSON
File : 'mem_topology'
Section: 'IP_LAYOUT'(8) was successfully added.
Format : JSON
File : 'ip_layout'
Section: 'CONNECTIVITY'(7) was successfully added.
Format : JSON
File : 'connectivity'
WARNING: Skipping CLOCK_FREQ_TOPOLOGY section for count size is zero.
WARNING: Section 'CLOCK_FREQ_TOPOLOGY' content is empty. No data in the given JSON file.
Section: 'CLOCK_FREQ_TOPOLOGY'(11) was empty. No action taken.
Format : JSON
File : '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize_xml.rtd'
Section: 'BUILD_METADATA'(14) was successfully added.
Size : 3296 bytes
Format : JSON
File : '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize_build.rtd'
Section: 'EMBEDDED_METADATA'(2) was successfully added.
Size : 7720 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xml'
Section: 'SYSTEM_METADATA'(22) was successfully added.
Size : 7900 bytes
Format : RAW
File : '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/systemDiagramModelSlrBaseAddress.json'
Successfully wrote (5594604 bytes) to the output file: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xclbin
Leaving xclbinutil.
INFO: [v++ 60-1441] [04:45:31] Run run_link: Step xclbinutil: Completed
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.10 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 22843 ; free virtual = 43449
INFO: [v++ 60-1443] [04:45:31] Run run_link: Step xclbinutilinfo: Started
INFO: [v++ 60-1453] Command Line: xclbinutil --quiet --info /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xclbin.info --input /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/int/krnl_resize.xclbin
INFO: [v++ 60-1454] Run Directory: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/link/run_link
INFO: [v++ 60-1441] [04:45:31] Run run_link: Step xclbinutilinfo: Completed
Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.06 . Memory (MB): peak = 678.754 ; gain = 0.000 ; free physical = 22843 ; free virtual = 43449
INFO: [v++ 60-244] Generating system estimate report...
INFO: [v++ 60-1092] Generated system estimate report: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link/system_estimate_krnl_resize.xtxt
INFO: [v++ 60-907] Packaging to directory: '/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw/sd_card'
INFO: [v++ 60-586] Created /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/xclbin_ultra96v2_min2_hw/krnl_resize.xclbin
INFO: [v++ 60-1307] Run completed. Additional information can be found in:
Guidance: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link/v++_link_krnl_resize_guidance.html
Timing Report: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/reports/link/imp/ultra96v2_min2_wrapper_timing_summary_routed.rpt
Vivado Log: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/logs/link/vivado.log
Steps Log File: /media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build/_x_ultra96v2_min2_hw/logs/link/link.steps.log
INFO: [v++ 60-791] Total elapsed time: 0h 19m 28s
masaaki@masaaki-H110M4-M01:/media/masaaki/Ubuntu_Disk/Vitis_Libraries/vision/L2/examples/resize/build$
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |