ad : inout std_logic_vector(63 downto 0); -- アドレスとデータ
signal pcix_dout_ena, master_dout_ena : std_logic;
attribute syn_useioff of pcix_dout_ena : signal is true;
process(reset, pcix_clk) begin -- ADのイネーブルをIOBに入れる
if reset='1' then
pcix_dout_ena <= '0';
elsif pcix_clk'event and pcix_clk='1' then
pcix_dout_ena <= target_dout_ena or master_dout_ena;
end if;
end process;
process(pcix_dout, pcix_dout_ena) begin -- PCIX_ADへの出力トライステート・バッファ
if pcix_dout_ena='1' then
ad <= pcix_dout;
else
ad <= (others => 'Z');
end if;
end process;
Timing constraint: COMP "pcix_ad(10)" OFFSET = OUT 3.8 ns AFTER COMP "pcix_clk";
2 items analyzed, 0 timing errors detected.
Minimum allowable offset is 3.086ns.
--------------------------------------------------------------------------------
Slack: 0.714ns (requirement - (clock arrival + clock path + data path + uncertainty))
Source: pcix_inst/pcix_dout[10] (FF)
Destination: pcix_ad(10) (PAD)
Source Clock: clk_dcm rising at 0.645ns
Requirement: 3.800ns
Data Path Delay: 2.715ns (Levels of Logic = 0)
Clock Path Delay: -0.274ns (Levels of Logic = 3)
Clock Uncertainty: 0.000ns
Clock Path: pcix_clk to pcix_inst/pcix_dout[10]
Delay type Delay(ns) Logical Resource(s)
---------------------------- -------------------
Tiopi 0.921 pcix_clk
dcm_pcix_inst/CLKIN_IBUFG_INST
net (fanout=1) 0.431 dcm_pcix_inst/CLKIN_IBUFG
Tdcmino -4.145 dcm_pcix_inst/DCM_INST
net (fanout=1) 1.185 dcm_pcix_inst/CLK0_BUF
Tgi0o 0.057 dcm_pcix_inst/CLK0_BUFG_INST
net (fanout=681) 1.277 clk_dcm
---------------------------- ---------------------------
Total -0.274ns (-3.167ns logic, 2.893ns route)
Data Path: pcix_inst/pcix_dout[10] to pcix_ad(10)
Delay type Delay(ns) Logical Resource(s)
---------------------------- -------------------
Tiockp 2.715 pcix_inst/pcix_dout[10]
pcix_ad_iobuf[10]/OBUFT
pcix_ad(10)
---------------------------- ---------------------------
Total 2.715ns (2.715ns logic, 0.000ns route)
(100.0% logic, 0.0% route)
signal pcix_dout_ena : std_logic_vector(63 downto 0);
attribute syn_useioff of pcix_dout_ena : signal is true;
attribute syn_keep of pcix_dout_ena : signal is true;
process(pcix_clk) begin -- ADのイネーブルをIOBに入れる
if pcix_clk'event and pcix_clk='1' then
for i in 63 downto 0 loop
if reset='1' then
pcix_dout_ena(i) <= '0';
else
pcix_dout_ena(i) <= target_dout_ena or master_dout_ena;
end if;
end loop;
end if;
end process;
process(pcix_dout, pcix_dout_ena) begin -- PCIX_ADへの出力トライステート・バッファ
for i in 63 downto 0 loop
if pcix_dout_ena(i)='1' then
ad(i) <= pcix_dout(i);
else
ad(i) <= 'Z';
end if;
end loop;
end process;
Timing constraint: COMP "pcix_ad(10)" OFFSET = OUT 3.8 ns AFTER COMP "pcix_clk";
2 items analyzed, 1 timing error detected.
Minimum allowable offset is 3.946ns.
--------------------------------------------------------------------------------
Slack: -0.146ns (requirement - (clock arrival + clock path + data path + uncertainty))
Source: pcix_inst/pcix_dout_ena[0] (FF)
Destination: pcix_ad(10) (PAD)
Source Clock: clk_dcm rising at 0.645ns
Requirement: 3.800ns
Data Path Delay: 3.588ns (Levels of Logic = 1)
Clock Path Delay: -0.287ns (Levels of Logic = 3)
Clock Uncertainty: 0.000ns
Timing Improvement Wizard
Clock Path: pcix_clk to pcix_inst/pcix_dout_ena[0]
Delay type Delay(ns) Logical Resource(s)
---------------------------- -------------------
Tiopi 0.921 pcix_clk
dcm_pcix_inst/CLKIN_IBUFG_INST
net (fanout=1) 0.431 dcm_pcix_inst/CLKIN_IBUFG
Tdcmino -4.145 dcm_pcix_inst/DCM_INST
net (fanout=1) 1.185 dcm_pcix_inst/CLK0_BUF
Tgi0o 0.057 dcm_pcix_inst/CLK0_BUFG_INST
net (fanout=687) 1.264 clk_dcm
---------------------------- ---------------------------
Total -0.287ns (-3.167ns logic, 2.880ns route)
Data Path: pcix_inst/pcix_dout_ena[0] to pcix_ad(10)
Delay type Delay(ns) Logical Resource(s)
---------------------------- -------------------
Tcko 0.374 pcix_inst/pcix_dout_ena[0]
net (fanout=64) 0.629 pcix_inst/pcix_dout_ena_1(0)
Tiotp 2.585 pcix_ad_iobuf[10]/OBUFT
pcix_ad(10)
---------------------------- ---------------------------
Total 3.588ns (2.959ns logic, 0.629ns route)
(82.5% logic, 17.5% route)
日 | 月 | 火 | 水 | 木 | 金 | 土 |
---|---|---|---|---|---|---|
- | - | - | - | - | 1 | 2 |
3 | 4 | 5 | 6 | 7 | 8 | 9 |
10 | 11 | 12 | 13 | 14 | 15 | 16 |
17 | 18 | 19 | 20 | 21 | 22 | 23 |
24 | 25 | 26 | 27 | 28 | 29 | 30 |
31 | - | - | - | - | - | - |